WO2002035586A2 - Monitoring substrate processing using reflected radiation - Google Patents

Monitoring substrate processing using reflected radiation Download PDF

Info

Publication number
WO2002035586A2
WO2002035586A2 PCT/US2001/049437 US0149437W WO0235586A2 WO 2002035586 A2 WO2002035586 A2 WO 2002035586A2 US 0149437 W US0149437 W US 0149437W WO 0235586 A2 WO0235586 A2 WO 0235586A2
Authority
WO
WIPO (PCT)
Prior art keywords
radiation
substrate
signal
feature
reflected
Prior art date
Application number
PCT/US2001/049437
Other languages
French (fr)
Other versions
WO2002035586A3 (en
Inventor
Zhifeng Sui
Hongqing Shan
Nils Johansson
Hamid Noorbakhsh
Yu Guan
Coriolan Frum
Jie Yuan
Chang-Lin Hsieh
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/695,577 external-priority patent/US6831742B1/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2002538471A priority Critical patent/JP4098621B2/en
Priority to EP01988945A priority patent/EP1352415A2/en
Priority to KR1020037005580A priority patent/KR100927557B1/en
Publication of WO2002035586A2 publication Critical patent/WO2002035586A2/en
Publication of WO2002035586A3 publication Critical patent/WO2002035586A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Definitions

  • a small change that may occur during processing of a substrate. It is also desirable to quantitatively evaluate the change, for example, a depth of etching, or a thickness of the material deposited upon, the substrate. It is further desirable to accurately monitor substrate processing during the etching of a substrate having small open areas or during the deposition of material into small areas on the substrate.
  • a substrate processing method comprises placing a substrate in a process zone, setting process conditions of an energized gas to process the substrate, providing a source of radiation in the process zone, detecting radiation that is reflected from a substrate during processing of the substrate and generating a signal, and filtering the signal.
  • Figure 10b is a schematic plan view of the window mask of Figure 9a
  • Figure 11 is a schematic sectional side partial view of yet another version of a chamber having a recessed window with a mask and an electrical field generator positioned to reduce the deposition of process residue on the window;
  • the present invention is useful for monitoring processing of a substrate 20, for example, to detect completion of a stage of processing of a feature 25 being processed on the substrate 20.
  • the substrate 20 for example, as illustrated in Figures 1a and 1b, the substrate
  • etch-resistant material 21 for example, a photoresist or hard mask layer, that is in a desired patterned configuration.
  • the etch-resistant material 21 resist
  • etch through the first layer 22 in a first etching stage It may also be desirable to etch through the first layer 22 in a first etching stage, and stop or slow down the etching process upon reaching a predetermined depth in the second layer 24 in a second etching stage.
  • an etching process is provided to illustrate an exemplary application of the present invention, it should be understood that the invention may also be applied to materials formed during the deposition of material on the substrate 20 or other processing methods.
  • Figure 3 shows the relative amplitude and frequencies of the different frequency components w pr , w ox and w p0 .
  • the intensities of particular wavelengths of the radiation emission are related to species present in the energized gas, any change in these intensities may occur, for example, when the process gas interacts with a substrate layer having a different composition.
  • the intensities of selected predefined wavelengths that correspond to the presence or absence of particular energized gas species may be monitored to determine, for example, when the energized gas has etched the first layer 22 sufficiently that the second layer 24 is at least partially exposed.
  • completion of the first etching stage may be determined by detecting an increase in the intensities of selected wavelengths of the radiation emission.
  • an increase in the intensities of selected wavelengths that are related to the presence of energized gas species that result from the interaction of the process gas with the second layer 24 may indicate that the first layer 22 has been etched sufficiently to expose the second layer 24.
  • a wavelength of radiation relating to C-N containing species which may be formed by the interaction of the process gas with the second layer 24 can be monitored at a wavelength of 3865 A.
  • An increase in the intensity of radiation having this wavelength indicates that the C-N containing species are being formed, and thus, that the first layer 22 has been etched sufficiently to expose the second layer 24.
  • completion of the first etching stage may be determined by monitoring the intensities of selected wavelengths of the radiation emission and detecting an increase (or decrease) in these intensities.
  • the radiation emission generated by the energized gas is monitored during the first etching stage, to determine completion of the first etching stage.
  • the second etching stage may be monitored by detecting the reflected polarized radiation to more determine completion of the second etching stage.
  • Figure 4a shows a trace of the reflected radiation signal obtained during the etching of features 25 comprising trenches having openings sized about 0.4 micron in a silicon dioxide dielectric layer on a substrate 20 having an open area of at least about 20%.
  • This trace of the summation signal comprises a first stage (I) in which both the features 25 being etched and the resist material 21 which is also being partially removed, contribute the components which interfere with one another and both contribute to the detected oscillating modulated amplitude that changes unpredictably in frequency and shape.
  • the second stage (II) which corresponds to etching of substantially only the etch-resistant material 21 (because the features 25 are fully etched) provides an amplitude trace having a more repeatable cyclic wavefront that is composed of mostly the resist component.
  • the endpoint of the etching process lying between the two traces and at a cumulative processing time of about 140 seconds, is denoted by "Etching Endpoint".
  • Figures 5a and 5b show amplitude traces obtained during the etching of 0.4 micron and 1 micron features in silicon dioxide on polysilicon over a silicon substrate 20, respectively, both substrates having the same open area of 30%.
  • the first stages corresponding to etching of both overlying etch-resistant material 21 and the silicon dioxide, provided a summation amplitude trace having complex and variable shape; whereas, the second stages, corresponding to etching of substantially only residual resist, provided a summation amplitude trace having a repeatable and cyclic waveform.
  • Figure 6 shows the relationship between the quality of the summation signal in relation to the size of the features 25 being processed or etched in the substrate 20 and as a function of the exposed area of silicon dioxide on the substrate 20.
  • the etching feature window 41 denotes a typical region of etched feature size and substrate open area in which many current trench features are processed.
  • conventional process monitoring methods only allow a small window region of the reflected radiation to be analyzed when the feature size or open area on the substrate becomes small.
  • the bandpass filter 53 is an electrical signal processor that operates by filtering the signal and selectively passing thorough only a passband of frequencies.
  • the electrical signal processor may be a digital signal processor that digitizes a radiation signal received from a radiation detector, and filters the digitized signal.
  • the selected frequency is approximately the central frequency of the passband.
  • the center frequency is (A +B)/2 Hz.
  • the passband frequency range is centered about a selected frequency of the destructive/constructive interference signals obtained from the radiation component that is reflected from the etched features 25, thereby dampening or excluding the destructive/constructive signal that arises from the radiation component that is reflected from the remaining surface of the substrate 20, for example, a patterned etch-resistant material on the substrate 20.
  • the selected frequency does not have to be the center frequency of the passband. That is, comparable results can be achieved by selecting with other frequencies within the passband.
  • the passband frequency range includes frequencies that are within about + 10% of a selected frequency of a radiation component that is reflected from the substrate 20.
  • a suitable frequency is from about 0.09 Hz to about 0.11 Hz, for an oxide etch rate of about 5000 angstroms/min.
  • Figure 7 shows a graph with the frequency response (%) versus normalized frequency for multiple passes through the bandpass filter 53 showing the increase in strength of radiation having frequencies centered about the etched feature component relative to, for example, the resist component or the rotating magnetic field component that is used during processing. As the number of passes were increased from 1 to 2, the resultant reduction in amplitude of the non-feature reflected radiation component enhanced the signal to noise ratio of the reflected radiation signal from the feature in relation to the other signals from the other surfaces of the substrate.
  • An energized gas or plasma is generated from the process gas by a gas energizer 46 that couples electromagnetic energy to the process gas in the process zone 30 of the chamber 35.
  • a first process electrode 54 such as a sidewall of the chamber 35 and a second electrode 52, such as an electrically conducting portion of the support 32 below the substrate 20 may be used to further energize the gas in the chamber 35, as shown in Figure 8a.
  • the first and second electrodes 52, 54 are electrically biased relative to one another by an RF voltage provided by an electrode voltage supply 62.
  • the frequency of the RF voltage applied to the electrodes 52, 54 is typically from about 50 KHz to about 60 MHz.
  • the gas energizer 46 may comprise an inductor coil 47 which inductively couples electromagnetic energy to the gas in the chamber 35, as shown in Figure 8b.
  • the process parameters such as the gas flow composition, flow rates, pressures, bias powers, and temperatures, may be kept the same throughout the etching process, or may be varied, for example when a first etching stage has been completed and a second etching stage is begun.
  • the process gas introduced into the chamber 35 may be selected according to the composition of the layers 22, 24 to be etched on the substrate 20.
  • a suitable process gas to etch an organic antireflective layer 22 comprising carbon and hydrogen may comprise CF 4 .
  • a suitable process gas to etch a silicon oxide layer 24 on a substrate 20 may comprise CF 4 and N 2 .
  • a process gas comprising from about 20 to about 100 seem CF 4 may be introduced into the chamber 35.
  • the pressure in the chamber 35 may be maintained at from about 20 to about 100 mTorr
  • the process electrode R.F. bias power level may be maintained at from about 100 to about 500 watts
  • portions of the chamber may be maintained at temperatures of about -15 °C to about 40 °C.
  • a process gas comprising from about 50 to about 200 seem CF 4 and from about 15 to about 100 seem N 2 may be introduced into the chamber 35.
  • the pressure in the chamber 35 may be maintained at from about 100 to about 500 mTorr, the process electrode R.F. bias power level may be maintained at from about 400 to about 1200 watts, and portions of the chamber may be maintained at temperatures of about -15 °C to about 40 °C.
  • the radiation 31 incident on the substrate 20 may be provided by a radiation source 58, which may be, for example, a plasma inside or outside the chamber, radiation lamp, LED or laser.
  • the radiation source 58 may provide radiation such as ultraviolet (UV), visible or infrared radiation; or it may provide other types of radiation such as X-rays.
  • the radiation source 58 may comprise, for example, an emission from a plasma generated inside the chamber 28 which is generally multispeetral with multiple wavelengths extending across a spectrum, as shown in Figure 8a, and also generally non-coherent, i.e., with multiple phases.
  • the radiation source 58 may also be positioned outside the chamber 35 so that the radiation 31 may be transmitted from the source 58 through a window 130 and into the chamber 35, as shown in Figure 8b.
  • the radiation source 58 may also provide radiation having predominant characteristic wavelengths, for example, a single wavelength, such as monochromatic light, as provided by a He-Ne or Nd-YAG laser.
  • the laser source also provides coherent light with a predominant or single phase.
  • the radiation source 58 may comprises a lamp that provides a radiation emission having multiple wavelengths, such as polychromatic light, which may be selectively filtered to a single wavelength.
  • Suitable radiation sources 58 for providing polychromatic light include Hg discharge lamps that generate a polychromatic light spectrum having wavelengths in a range of from about 180 to about 600 nanometers; arc lamps such as xenon or Hg-Xe lamps and tungsten-halogen lamps; and light emitting diodes (LED).
  • a non-polarized radiation source 58 that provides a source of non-polarized light, such as ultraviolet, infrared or visible light, is used.
  • the non-polarized source is useful when polarized radiation is preferentially absorbed during the process, by for example, the energized gas or plasma or a residue that accumulates on the chamber window.
  • the polarization state also influences the radiation absorption characteristics in materials having oriented crystalline structures, such as crystals having other than cubic symmetry.
  • a normal incidence of the radiation onto the substrate 20 may also be used to accurately detect processing endpoints for a substrate 20 having tall and narrowly spaced features, for example, the etch-resistant features, over the layers 22, 24.
  • the normal incident radiation is not blocked from reaching the layers 22, 24 by the height of the etch-resistant material features.
  • normal incidence is not necessary for detection of the reflected radiation and that other angles of incidence may be employed.
  • the polarizers 59a,b comprise radiation permeable material coated with one or more thin films that selectively polarize the radiation passing through the material, or in another version, they may be a rotatable filter.
  • the radiation is sampled at periodic intervals to obtain only the reflected radiation signal components that are related to the feature angle orientation.
  • the chamber 35 may be operated by a controller 100 that executes a computer-readable process control program 102 on a computer system 104 comprising a central processor unit (CPU) 106, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 108 and peripheral computer components.
  • the memory 108 comprises a computer-readable medium having the computer-readable program 102 embodied therein.
  • the memory 108 includes a hard disk drive 110, a floppy disk drive 112, and random access memory 114.
  • Figure 9 is an illustrative block diagram of a hierarchical control structure of a specific embodiment of a process control program 102 according to the present invention.
  • a user Using a light pen interface, a user enters a process set and chamber number into a process selector program 132 in response to menus or screens displayed on the CRT terminal.
  • the process chamber program 124 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, RF power levels, support position and other parameters of a particular process.
  • the process sets are predetermined groups of process parameters necessary to carry out specified processes.
  • the process parameters are process conditions, including without limitations, gas composition, gas flow rates, pressure, and gas energizer settings.
  • the process monitoring software comprises program code for continuously analyzing a trace of a measured amplitude of reflected radiation by drawing a . box or “window” around the end portion of the trace and back in time, with signal height and time length established in the preprogrammed algorithm.
  • a set of windows may be programmed to detect a valley or peak in the trace of the reflected intensity, trigger on an upward slope to detect a later endpoint, or to trigger on a downward slope to detect an endpoint before a valley in the trace.
  • the first criterion is met when the signal in the trace becomes too steep and exits or moves out of the preprogrammed box ("WINDOW OUT”) or when it becomes gradual and enters the box ("WINDOW IN").
  • Figure 10a is a schematic sectional side view of a chamber having a window 130 in a recess in the wall 51 of the chamber 35, a detector 54 to detect the radiation reflected from the substrate and passing through the window 130 and generate a signal in response to the detected radiation, and a controller 100 to evaluate the detected signal to monitor the process.
  • the window 130 comprises a material that is permeable to the wavelengths of radiation that are monitored by the controller 100.
  • the window 130 may be made of a ceramic, such as for example, one or more of AI 2 O 3 , Si, SiO 2 , TiO 2 , ZrO 2 or mixtures and compounds thereof.
  • the ceramic may also comprise a monocrystalline material, such as for example, sapphire which is monocrystalline alumina and that exhibits good erosion resistance to halogen plasmas, especially fluorine containing plasmas.
  • the apertures 145 reduces the deposition of process residues therein by reducing the access of neutral gaseous species (which are often the residue forming species) or by allowing highly energized gaseous ions to etch away process residues that form on the walls of the apertures 145.
  • the aspect ratio and depth of the recess 145 generally control the distance that must be traveled by the energetic gaseous species before they reach the internal surfaces of the recess 145 for example, a window 130 in the recess 145.
  • Suitable apertures 145 comprises an aspect ratio of at least about 0.25:1 and the aspect ratio may also be less than about 12:1.
  • the electromagnetic field source comprises an electrical field source 220 that provides electrical energy about the wall 51 , recess 61 or across the window 130 (as shown) to maintain an electrical field thereabout. It is believed that the electrical field reduces the deposition of process residues on the wall 51, in the recess 61, or on the window 130, for example, by repelling the charged residue forming species or by causing the energized gaseous species to bombard the window 130 to etch away the process residues.
  • the electric field source 220 may comprise an electrode 225 that is adjacent to, abutting, or behind the wall 51 , about the recess 61 , or near the window 130, to couple electrical energy thereabout.
  • the electrical field may be adapted to have electrical field components which are parallel or perpendicular to the plane of the wall 51 or window 130.
  • the electrode 225 may be sized sufficiently large to provide an electric field that covers an entire area of the wall 51 or the window 130.
  • the electrode 225 may also comprise eddy current reducing slots that are shaped and sized to reduce any eddy currents that may be induced in the electrode 225.
  • a voltage source 245 electrically biases the electrode 225 with a DC, AC or RF voltage, typically of from about 10 to about 10,000 volts, and more preferably from about 20 to about 4000 volts.
  • the etched features 25 had openings sized from about 0.4 micron to about 1 micron, the exposed dielectric (silicon dioxide) area on the silicon wafer was from about 5% to about 50%.
  • features 25 were etched in a substrate 20 comprising a first layer 22 having a first index of refraction and a second layer 24 having a second index of refraction.
  • the etching process was performed in a magnetically enhanced etching chamber 35 with a recessed window 61 covered by a mask 140 and having a magnetic field source 195 about the window 61, as for example illustrated in Figure 9.

Abstract

A substrate processing apparatus has a chamber capable of processing a substrate, a radiation source to provide a radiation, a radiation polarizer adapted to polarize the radiation to one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate, a radiation detector to detect radiation reflected from the substrate during processing and generate a signal, and a controller to process the signal.

Description

MONITORING SUBSTRATE PROCESSING USING REFLECTED RADIATION
BACKGROUND
The invention relates to monitoring the processing of a substrate.
In substrate processing methods, features comprising semiconductor, dielectric, and conductor materials, including but not limited to, silicon, polysilicon, silicon dioxide, aluminum, copper and tungsten suicide materials, are formed on a substrate by, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), oxidation, nitridation, ion implantation, and etching processes. In CVD processes, a reactive gas is used to deposit material on the substrate. In PVD processes, a target is sputtered to deposit material on the substrate. In oxidation and nitridation processes, an oxide or nitride material, such as silicon dioxide or silicon nitride, is formed on the substrate by exposing the substrate to a suitable gaseous environment. In ion implantation, ions are implanted into the substrate, In conventional etching processes, etch-resistant features comprising resist or hard-mask, are formed on the substrate and the exposed portions of the substrate between the etch-resistant features (substrate open area) are etched to form patterns of gates, vias, contact holes or interconnect lines.
Conventional methods of monitoring the processing of a substrate or of a process conducted in a substrate processing chamber often have problems. The process monitoring methods may be used to stop or change the process, for example, after a predetermined change occurs in a feature or material being processed, after a process stage, or at a process endpoint. For example, in the etching of trenches in a dielectric, such as silicon dioxide, on a silicon wafer, it may be desirable to stop etching after reaching a predetermined depth. In one conventional method, the time required to etch a particular depth in a substrate is calculated from a predetermined rate of etching and a starting thickness of the substrate layer or material being etched. In another method, the peaks resulting from the constructive and destructive interference of radiation reflected from the substrate are counted to determine a substrate etching depth. However, such techniques are often inaccurate when the starting thickness of the material on the substrate varies from one substrate to another or when other process parameters change. It is especially difficult to accurately monitor an etching process when the substrate being etched has a small open area between the etch-resistant features because the process signal from such a region is small relative to the process signal from other portions of the substrate. It is also difficult to determine the depth of a material deposited within a via or trench on the substrate, for example, during the deposition of dielectric or metal material into a via or trench, because of the small area of the deposited material.
Thus, it is desirable to detect a small change that may occur during processing of a substrate. It is also desirable to quantitatively evaluate the change, for example, a depth of etching, or a thickness of the material deposited upon, the substrate. It is further desirable to accurately monitor substrate processing during the etching of a substrate having small open areas or during the deposition of material into small areas on the substrate.
SUMMARY
A substrate processing apparatus comprises a chamber capable of processing a substrate, a radiation source to provide a radiation, a radiation polarizer adapted to polarize the radiation to one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate, a radiation detector to detect radiation reflected from the substrate during processing and generate a first signal, and a controller to process the first signal.
A method of processing a substrate in a process zone comprises the steps of providing a substrate in the process zone, setting process conditions to process the substrate with an energized gas, providing radiation that is polarized at one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate, detecting radiation reflected from the substrate and generating a signal in response to the detected radiation, and processing the signal.
A substrate processing apparatus comprises a chamber capable of processing a substrate, a radiation source to provide a radiation, a radiation polarizer adapted to polarize the radiation to a plurality of polarization angles, a radiation detector to detect radiation reflected from the substrate during processing and generate a signal, and a controller to process the signal. A method of processing a substrate in a process zone comprises the steps of providing a substrate in the process zone, setting process conditions to process a feature on the substrate with an energized gas, providing radiation that is polarized to a plurality of polarization angles, detecting radiation reflected from the substrate and generating a signal in response to the detected radiation, and processing the signal.
A substrate processing apparatus comprises a chamber capable of processing a substrate, a radiation source to provide a radiation, a radiation detector to detect radiation reflected from the substrate during processing and generate a signal, and a bandpass filter to filter the signal.
A substrate processing method comprises placing a substrate in a process zone, setting process conditions of an energized gas to process the substrate, providing a source of radiation in the process zone, detecting radiation that is reflected from a substrate during processing of the substrate and generating a signal, and filtering the signal.
A substrate processing apparatus comprises a process chamber comprising a substrate support, gas inlet, gas energizer, gas exhaust, and a wall having a recess with a window therein and a mask over the window, and a process monitoring system capable of monitoring a process that may be conducted in the process chamber, through the window in the recess of the wall.
A. method of processing a substrate in a chamber comprises placing the substrate in the chamber, providing an energized gas in the chamber to process the substrate, masking a window provided in a recess in a wall of the chamber, and monitoring a process that may be conducted in the chamber through the window in the recess in the wall.
A method of etching a substrate in a process zone and monitoring the etching process comprises (a) etching a substrate by placing the substrate in the process zone, providing an energized process gas in the process zone, and exhausting the process gas, whereby the energized gas may generate a radiation emission, (b) determining completion of a first stage of the etching process by detecting the intensities of one or more wavelengths of the radiation emission, generating a first signal in relation to the detected intensities, and evaluating the first signal, and (c) determining completion of a second stage of the etching process by detecting the intensities of one or more wavelengths of a polarized radiation reflected from the substrate being etched, generating a second signal in relation to the detected intensities, and evaluating the second signal.
A substrate etching apparatus comprises a chamber comprising a substrate support to receive a substrate, a gas inlet to introduce a process gas into the chamber, a gas energizer to energize the process gas to form an energized gas capable of etching the substrate and generating a radiation emission, and an exhaust to exhaust the process gas, one or more radiation detectors adapted to detect the intensities of one or more wavelengths of the radiation emission and generate a first signal in relation to the detected intensities, and detect the intensities of one or more wavelengths of polarized radiation reflected from the substrate being etched and generate a second signal in relation to the detected intensities, and a controller to evaluate the first signal to determine completion of a first stage of the etching process, and to evaluate the second signal to determine completion of a second stage of the etching process.
A method of etching a substrate in a process zone and monitoring the etching process comprises (a) etching a substrate by placing the substrate in the process zone, the substrate comprising a first layer and a second layer below the first layer, providing an energized process gas in the process zone, and exhausting the process gas, whereby the energized gas generates a radiation emission, (b) determining completion of etching of the first layer by detecting the intensities of one or more wavelengths of the radiation emission, generating a first signal in relation to the detected intensities, and evaluating the first signal to determine a change in the intensities of one or more wavelengths of the radiation emission that arises from etching of the second layer, and (c) monitoring the depth of etching of the second layer by detecting the intensities of one or more wavelengths of polarized radiation reflected from the substrate being etched, wherein the polarized radiation is polarized at one or more of a first polarization angle that is substantially parallel to an orientation of a feature being etched on the substrate and a second polarization angle that is substantially perpendicular to an orientation of a feature being etched on the substrate, generating a second signal in relation to the detected intensities, and evaluating the second signal. A substrate etching apparatus adapted to etch a substrate comprising a first layer and a second layer below the first layer comprises a chamber comprising a substrate support to receive the substrate, a gas inlet to introduce a process gas into the chamber, a gas energizer to energize the process gas to form an energized gas capable of etching the substrate and generating a radiation emission, and an exhaust to exhaust the process gas, a radiation polarizer adapted to polarize a radiation at one or more of a first polarization angle that is substantially parallel to an orientation of a feature to be etched on the substrate, and a second polarization angle that is substantially perpendicular to an orientation of a feature to be etched on the substrate, one or more radiation detectors adapted to detect the intensities of one or more wavelengths of the radiation emission and generate a first signal in relation to the detected intensities, and detect the intensities of one or more wavelengths of the polarized radiation reflected from a surface of the substrate being etched and generate a second signal in relation to the detected intensities, and a controller adapted to evaluate the first signal to determine a change in the intensities of the one or more wavelengths of the radiation emission that arise during etching of the second layer, thereby determining completion of the first layer, and evaluate the second signal to monitor the depth of etching of the second layer.
DRAWINGS
These and other features, aspects, and advantages of the present invention will be better understood from the following drawings, description and appended claims, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of a particular drawing, and the invention includes any combination of these features.
Figure 1a is a schematic diagram of a first feature being etched in a substrate and an apparatus for receiving substrate reflected radiation having a plurality of polarization angles;
Figure 1b is a schematic diagram of another feature being etched in the substrate of Figure 1a, the principal orientation of the second feature being different than the principal orientation of the first feature; Figures 2a and 2b are schematic diagrams showing the constructive and destructive interference effects occurring when radiation is reflected from features being etched in an oxide layer and from the surface of the etch-resistant material, before and after partially etching the features, respectively;
Figure 3 is a graph of the relative amplitude of different frequencies of the interference signal of the substrate reflected radiation;
Figures 4a and 4b are partial traces of the amplitude of the reflected radiation detected during the etching of 0.4 micron trenches in a silicon dioxide layer having an open area of 5% and 20%, respectively;
Figures 5a and 5b are graphs of partial traces of the amplitude of the reflected radiation detected during the etching of trenches sized 0.4 and 1 micron, respectively, in a silicon dioxide layer having an open area of 30%;
Figure 6 is a graph showing the quality of the intensity of the summation signal of reflected radiation as a function of feature size and open area of exposed silicon dioxide;
Figure 7 is a graph showing the % frequency response versus normalized frequency for multiple passes of the bandpass filter;
Figures 8a and 8b are schematic sectional side views of a chamber and process monitoring system according to the present invention;
Figure 9 is an illustrative block diagram of a computer program suitable for operating the chamber and monitoring a process performed therein;
Figure 10a is a schematic sectional side partial view of a chamber having a recessed window with a mask and a magnetic field generator positioned to reduce the deposition of process residue on the window;
Figure 10b is a schematic plan view of the window mask of Figure 9a; Figure 11 is a schematic sectional side partial view of yet another version of a chamber having a recessed window with a mask and an electrical field generator positioned to reduce the deposition of process residue on the window;
Figure 12 is a graph showing the attenuation of radiation with process time for a bare window, a recessed window with an overlying mask, and a recessed window with an overlying mask and adjacent magnets;
Figure 13 shows a reflected radiation signal trace after polarization of the radiation, ratioing detected polarized radiation signals, and processing the ratioed signal through two cycles in a bandpass filter;
Figure 14a shows the signal trace of an intensity of a wavelength of a radiation emission generated by an energized gas during the etching of a substrate; and
Figure 14b shows a reflected radiation signal trace after polarization of the radiation.
DESCRIPTION
The present invention is useful for monitoring processing of a substrate 20, for example, to detect completion of a stage of processing of a feature 25 being processed on the substrate 20. For example, as illustrated in Figures 1a and 1b, the substrate
20 may comprise an etch-resistant material 21 (resist), for example, a photoresist or hard mask layer, that is in a desired patterned configuration. The etch-resistant material
21 overlies other materials 22, 24 which may be shaped as layers and which are formed on a wafer 26 of silicon, compound semiconductor or dielectric. The layers 22, 24 are stratums of the substrate 20 which may be composed of a single material or more than one material. During processing of the materials 22, 24, for example when etching the materials, it may be desirable to stop processing upon approaching or reaching an interface 23 between the first material 22 and the second material 24 or after completion of processing one or both of the first or second materials 22, 24. For example, when etching a feature 25, such as a via or trench in the substrate 20, it may be desirable to stop or slow down the etching process upon reaching a predetermined depth of the first material 22 or after etching through only a small portion of the underlying second material 24. It may also be desirable to etch through the first layer 22 in a first etching stage, and stop or slow down the etching process upon reaching a predetermined depth in the second layer 24 in a second etching stage. Although an etching process is provided to illustrate an exemplary application of the present invention, it should be understood that the invention may also be applied to materials formed during the deposition of material on the substrate 20 or other processing methods.
The features 25 formed in a particular layer 22 on the substrate 20 may also have an orientation 33, such as a principal orientation, which is along a primary direction. For example, the features 25 may be oriented in a principal orientation so that electrical signals may be more expeditiously passed therethrough. In other layers 35, formed above or below the layer 22 being etched, for example, as shown in Figure 1b, the features 36 may be oriented in other or second principal orientations 39 that are different from the first principal orientation 33 of the features 25 in the first layer 22. For example, the features 36 in the second layer 35 may be mostly oriented in a direction 39 that is perpendicular to the orientation 33, i.e., if the features 25 in the first layer 22 are oriented primarily along a 0° direction, then the features 36 in the overlying second layer 35 may be mostly oriented along a 90° orientation. For example, electrical interconnect lines on adjacent upper and lower levels which are oriented perpendicular to each other reduce problems such as hot spots or excessive inductance-capacitance (LC) crosstalk during operation, especially as the frequency or speed of signal passing through is increased.
In one aspect of the present invention, processing of the substrate 20 is monitored by monitoring an amplitude of the radiation reflected from the substrate, and enhancing the signal strength of the amplitude modulation of radiation reflected from the features 25 relative to the intensity modulation of radiation reflected from the etch-resistant material 21 , by detecting substrate reflected radiation 31 having one or more polarization angles. The polarization angle is the mode of vibration of the radiation 31 in the plane perpendicular to the direction of travel of the radiation. For example, Figure 1 a also shows radiation 31 having a plurality of polarization angles being reflected from a feature 25 being etched and from the etch-resistant material 21 on the substrate 20. The radiation 31 is polarized to one or more polarization angles related to an orientation 33, for example, a principal orientation, of a feature 25 being processed on the substrate 20. For example, the radiation 31 may be polarized along polarization angles which are substantially parallel or perpendicular to the principal orientation 33 of the feature 25. The polarization angles may include, for example, a first polarization angle Pα (0°) that is substantially parallel to the principal orientation 33 and a second polarization angle Pβ (90°) substantially perpendicular to the principal orientation 33.
Referring to Figure 1a, the intensity of the feature reflected radiation component l„ (or p-component) having a first polarization angle that is substantially parallel to, or directed along the length / of, the principal orientation 33 of the feature 25, has a larger magnitude than feature reflected radiation components which are at other polarization angles relative to the principal orientation. For example, the radiation component I _ (or s-component) having a polarization angle that is substantially parallel to the width w of the orientation 33 of the feature 25, has a smaller magnitude than reflected radiation at other polarization angles. The measured l„ and l components may be used to enhance the feature reflected component, from the equations: I II (SUm) = 11| (feature) + Ml (resist)
'-•-(sum) = '-'- (feature) + '-'- (resist)
The difference or summation of these equations allow separation of the feature reflected component and the etch-resistant material reflected component, as follows:
Δ I = lJ-(Sum) " Ml (sum) = '-'-(feature) " Ml (feature) This occurs because the l„ (resist) component is the same as the l (resist) component, and consequently, it cancels out from the equation, leaving behind only the feature reflected components. Thus, monitoring substrate reflected radiation at a plurality of polarization angles can more accurately determine the intensity of the feature reflected components.
This phenomena may be explained with reference to Figures 2a and 2b, which show that the vertical constructive/destructive phase interference may be defined using the ratio of the amplitude of the reflected radiation to the amplitude of the incident radiation, the radiation being for example, light, in the equation, rsum = (r-,+r2 *e "io1)/(1+r.,*r2* e "iδ1), where r, = (l-n- /O+n-,); r2 = (n1 -n2)/(n1+n2); and δ. = 4πn1d1/λ; and where n. and n2 are the index of reflection of a feature in an oxide layer and the substrate, respectively, d-, is the thickness of the oxide layer, and λ is wavelength. The lateral interference effect is provided by lr = l0 1 fpr rpr + e -iδ0 ffeature rfeature |2, where fpr is the percentage of photoresist covered area, ^feature 's tne percentage of feature open area, r1pr = (r1p + r2 * e -iδ1)/(1+r1pV e "iδ1), where r1p = (-yn r-p+n.,) r2 = (n, -n2)/{n +n2), and δ1 = 4πn1dfeature/λ rpr = (rP + r1pr * e -iδ2)/(1Vipr * e -iδ2), where rp = (1-np)/(1+np), and δ2 = 4τrnpdp/λ, and rteature ^r^ r. ^ e -^/d +r. e -153) where δ3 = 4πn1d1/λ, and δ0 = 4πd0/λ.
The intensity of the radiation reflected from the feature is given by sum l0|rsum |2. The complex frequency components due to the combination of vertical and lateral interferences are, wpr (photoresist component), wox (silicon dioxide component), wpo (difference between photoresist and silicon dioxide), wox-wpr, wox+wpr, wpo-wpr, wpo+wpr, wpo- wpr, wpo+wpr+wox, wox-wpr-wpo and wox+wpr-wpo. However, a problem with the change in frequency components as a function of amplitude arises because the shape of interference fringes is distorted due to the coupling of the vertical and lateral interference effects. For example, Figure 3 shows the relative amplitude and frequencies of the different frequency components wpr, wox and wp0. Generally, the depth of etching a feature 25 in a substrate 20 is related to the wavelength of the incident radiation by the equation, feature etch depth = wavelength/(2*IOR), where IOR is the index of reflection of the incident radiation. As the etching depth of a feature 25 being processed on a substrate 20 increases, the reflected radiation from the etched features 25 undergoes destructive/constructive interference to provide a detectable oscillating signal having a first frequency that is related to the etching rate and wavelength of the incident radiation. Meanwhile, the radiation reflected from the remaining surface of the substrate 20, which is etched at a different etching rate, also undergoes destructive/constructive interference to provide a detectable oscillating signal having a different and second frequency.
In one version, completion of etching of the first layer 22 during a first etching stage is determined so that the depth of etching in the second layer 24 may be more accurately determined by monitoring the polarized reflected radiation in a second etching stage. One method of determining completion of etching of the first layer 22 is by detecting a radiation emission from an energized gas that is provided to etch the substrate 20. The intensities of the wavelengths of the radiation emission emitted by the energized gas are related to the presence of the energized gas species such as process gas species and other gas species which may be generated by the interaction of the process gas with the substrate 20. Because the intensities of particular wavelengths of the radiation emission are related to species present in the energized gas, any change in these intensities may occur, for example, when the process gas interacts with a substrate layer having a different composition. Thus, the intensities of selected predefined wavelengths that correspond to the presence or absence of particular energized gas species, may be monitored to determine, for example, when the energized gas has etched the first layer 22 sufficiently that the second layer 24 is at least partially exposed.
In one version, completion of the first etching stage may be determined by detecting an increase in the intensities of selected wavelengths of the radiation emission. For example, an increase in the intensities of selected wavelengths that are related to the presence of energized gas species that result from the interaction of the process gas with the second layer 24 may indicate that the first layer 22 has been etched sufficiently to expose the second layer 24. For example, when etching through a first layer 22 to a second layer 24 comprising carbon and hydrogen, in an energized gas comprising N2, a wavelength of radiation relating to C-N containing species which may be formed by the interaction of the process gas with the second layer 24, can be monitored at a wavelength of 3865 A. An increase in the intensity of radiation having this wavelength indicates that the C-N containing species are being formed, and thus, that the first layer 22 has been etched sufficiently to expose the second layer 24. Thus, completion of the first etching stage may be determined by monitoring the intensities of selected wavelengths of the radiation emission and detecting an increase (or decrease) in these intensities.
Once the first etching stage has been completed, the second etching stage to etch the second layer 24 is performed. The second layer 24 may be etched either by using the same process parameters used in the first etching stage or by changing one or more of the process parameters used in the first etching stage. For example, the same process gas used to etch the first layer may also be used to etch the second layer, or a different process gas may be provided. Completion of the second etching stage may be determined by monitoring the reflected polarized radiation to determine the depth of the feature 25 being etched on the substrate 20. The etch depth of a feature 25 being etched on a substrate 20 may be determined by counting the minima or maxima of the signal resulting from the destructive/constructive interference of the reflected polarized radiation. By evaluating this signal and determining when a predetermined depth of a feature 25 being etched on the substrate 20 has been obtained, the endpoint of the etching process, and completion of the second etching stage to etch the second layer 24, may be determined.
However, determining the depth of the feature 25 being etched on the substrate 20 by detecting reflected polarized radiation can be problematic when etching a substrate 20 comprising a first layer 22 having a first index of refraction and a second layer 24 having a second index of refraction. This is because the amplitude modulated signal obtained during etching of the first layer 22 is a complicated function of the constructive and destructive interference of the polarized radiation reflected from both the first and second layers 22, 24. Thus, the minima and maxima of the interference signal cannot be reliably counted to determine when a desired depth of the feature 25 has been obtained without knowing when the first layer 22 has been etched through to reveal the second layer 24. It is for this reason that the radiation emission generated by the energized gas is monitored during the first etching stage, to determine completion of the first etching stage. Once completion of the first etching stage has been determined, the second etching stage may be monitored by detecting the reflected polarized radiation to more determine completion of the second etching stage. By determining completion of the first etching stage, the depth of the feature 25 being etched on the substrate 20 and the endpoint of the etching process may be more accurately determined during the second etching stage.
Detection of the modulations of the feature component is especially difficult when the substrate 20 has a small open area between the etch-resistant features 21 because the modulation of the resist component from the larger area of the etch-resistant features 21 dominates the modulation of the total signal. Figure 4a shows a trace of the reflected radiation signal obtained during the etching of features 25 comprising trenches having openings sized about 0.4 micron in a silicon dioxide dielectric layer on a substrate 20 having an open area of at least about 20%. This trace of the summation signal comprises a first stage (I) in which both the features 25 being etched and the resist material 21 which is also being partially removed, contribute the components which interfere with one another and both contribute to the detected oscillating modulated amplitude that changes unpredictably in frequency and shape. However, the second stage (II) which corresponds to etching of substantially only the etch-resistant material 21 (because the features 25 are fully etched) provides an amplitude trace having a more repeatable cyclic wavefront that is composed of mostly the resist component. The endpoint of the etching process, lying between the two traces and at a cumulative processing time of about 140 seconds, is denoted by "Etching Endpoint". When similar trace studies were conducted for a substrate 20 having a smaller fraction of open area, for example, an open area of 5%, as shown in Figure 4b, the detected reflected radiation provided a signal trace having a cyclic and repeatable waveform that is mostly the signal intensity of the resist component that is reflected from the surface of the etch-resistant material 21 which occupies more than 95% of the area of the substrate 20. The reflected radiation from the relatively small open area of the features 25 on the substrate 20 that were being etched had a much smaller relative signal intensity that is lost in the larger resist component signal.
It was also determined that the intensity of summation signal is also dependent upon the size of the features 25 being etched in the substrate 20. Figures 5a and 5b show amplitude traces obtained during the etching of 0.4 micron and 1 micron features in silicon dioxide on polysilicon over a silicon substrate 20, respectively, both substrates having the same open area of 30%. Again, the first stages, corresponding to etching of both overlying etch-resistant material 21 and the silicon dioxide, provided a summation amplitude trace having complex and variable shape; whereas, the second stages, corresponding to etching of substantially only residual resist, provided a summation amplitude trace having a repeatable and cyclic waveform.
Figure 6 shows the relationship between the quality of the summation signal in relation to the size of the features 25 being processed or etched in the substrate 20 and as a function of the exposed area of silicon dioxide on the substrate 20. There are two regimes, one in which intensity of summation signal is acceptable, and the other in which the summation signal intensity is not acceptable. The etching feature window 41 denotes a typical region of etched feature size and substrate open area in which many current trench features are processed. Thus, conventional process monitoring methods only allow a small window region of the reflected radiation to be analyzed when the feature size or open area on the substrate becomes small.
In another aspect of the present invention, a filter 53 may be used to selectively filter a signal generated by the radiation detector 54 in relation to a detected intensity of the reflected radiation. In one version, the filter 53 is a bandpass filter that increases the relative intensity of a selected passband of frequencies in relation to the intensity of other frequency components of the reflected radiation. For example, the bandpass filter 53 may be adapted to filter the incoming signal from the radiation detector 54 to pass through a band of frequencies that are related to a frequency of a radiation that is reflected from a feature 25 being processed on the substrate 20, while reducing the intensity of the signal that arises from radiation that is not reflected from the features 25 being processed on the substrate 20. The type of bandpass filter 53 used depends upon the intended process use and the passband frequency limits. In one version, the bandpass filter 53 is an electrical signal processor that operates by filtering the signal and selectively passing thorough only a passband of frequencies. The electrical signal processor may be a digital signal processor that digitizes a radiation signal received from a radiation detector, and filters the digitized signal.
In one version, the selected frequency is approximately the central frequency of the passband. For example, if the bandpass filter has a passband ranging from A to B Hz, the center frequency is (A +B)/2 Hz. When the passband frequency range is centered about a selected frequency of the destructive/constructive interference signals obtained from the radiation component that is reflected from the etched features 25, thereby dampening or excluding the destructive/constructive signal that arises from the radiation component that is reflected from the remaining surface of the substrate 20, for example, a patterned etch-resistant material on the substrate 20. However, the selected frequency does not have to be the center frequency of the passband. That is, comparable results can be achieved by selecting with other frequencies within the passband. In one example, the passband frequency range includes frequencies that are within about + 10% of a selected frequency of a radiation component that is reflected from the substrate 20. For example, for trench shaped features 25 being etched in a dielectric material on a substrate 20, a suitable frequency is from about 0.09 Hz to about 0.11 Hz, for an oxide etch rate of about 5000 angstroms/min.
In one version, the passband frequency range may be selected to provide a coherence length of a non-coherent radiation source 58, which may be, for example, a plasma emission having multiple wavelengths and phases. The coherence length is the length in which interference effects of radiation from the radiation source 58 may be observed. For a non-coherent radiation source, the coherence length is related to the equation λ2/nΔλ, where n is the index of refraction of the layer 22 being etched, λ is the wavelength at the center of the plasma emission spectrum, and Δλ is the wavelength range, and hence the frequency range, passed by the bandpass filter. The coherence length may be obtained when Δλ is chosen such that λ2/Δλ is greater than the thickness of the layer 22 being etched. In one version, the Δλ of the bandpass filter 53 may be 1.5 nanometers for a plasma emission centered about 254 nanometers.
The reflected radiation signal may also be processed in one or more cycles through the bandpass filter 53, so that in each cycle, the signal is filtered to pass through the component of the radiation signal corresponding to the frequencies of reflected radiation from the etched features 25, while dampening the radiation signal corresponding to the frequencies of the reflected radiation from the other or resist 21 portions of the substrate 20. For example, during an etching process, in each pass, the bandpass filter 53 would increase the signal strength of the radiation reflected from the etched features 25 relative to the signal strength of the radiation reflected from the remaining substrate surface. A suitable number of cycles is from about 1 to about 10 cycles, and more typically from about 2 to about 5 cycles.
Figure 7 shows a graph with the frequency response (%) versus normalized frequency for multiple passes through the bandpass filter 53 showing the increase in strength of radiation having frequencies centered about the etched feature component relative to, for example, the resist component or the rotating magnetic field component that is used during processing. As the number of passes were increased from 1 to 2, the resultant reduction in amplitude of the non-feature reflected radiation component enhanced the signal to noise ratio of the reflected radiation signal from the feature in relation to the other signals from the other surfaces of the substrate.
The present invention is useful for etching a substrate 20 in an apparatus 27, as for example, schematically illustrated in Figure 8a and Figure 8b. Generally, the apparatus 27 comprises a chamber 35 having a support 32 for receiving a substrate 20 in a process zone 30. Process gas may be introduced into the chamber 35 through a gas supply 34 comprising a gas source 36, gas inlets 38 located around the periphery of the substrate 20 (as shown) or in a showerhead mounted on the ceiling of the chamber (not shown). A gas flow controller 40 may be used to control the flow rate of the process gas. Spent process gas and etchant byproducts are exhausted from the chamber 35 through a gas exhaust 42 comprising roughing and turbomolecular pumps (not shown) and a throttle valve 44 which may be used to control the pressure of process gas in the chamber 35.
An energized gas or plasma is generated from the process gas by a gas energizer 46 that couples electromagnetic energy to the process gas in the process zone 30 of the chamber 35. For example, a first process electrode 54, such as a sidewall of the chamber 35 and a second electrode 52, such as an electrically conducting portion of the support 32 below the substrate 20 may be used to further energize the gas in the chamber 35, as shown in Figure 8a. The first and second electrodes 52, 54 are electrically biased relative to one another by an RF voltage provided by an electrode voltage supply 62. The frequency of the RF voltage applied to the electrodes 52, 54 is typically from about 50 KHz to about 60 MHz. As another example, the gas energizer 46 may comprise an inductor coil 47 which inductively couples electromagnetic energy to the gas in the chamber 35, as shown in Figure 8b.
In the etching of a substrate 20 comprising a first layer 22 and a second layer 24, the process parameters, such as the gas flow composition, flow rates, pressures, bias powers, and temperatures, may be kept the same throughout the etching process, or may be varied, for example when a first etching stage has been completed and a second etching stage is begun. The process gas introduced into the chamber 35 may be selected according to the composition of the layers 22, 24 to be etched on the substrate 20. For example a suitable process gas to etch an organic antireflective layer 22 comprising carbon and hydrogen may comprise CF4. As another example, a suitable process gas to etch a silicon oxide layer 24 on a substrate 20 may comprise CF4 and N2. For example, to etch a first layer 22 comprising an organic antireflective layer comprising carbon and hydrogen, a process gas comprising from about 20 to about 100 seem CF4may be introduced into the chamber 35. The pressure in the chamber 35 may be maintained at from about 20 to about 100 mTorr, the process electrode R.F. bias power level may be maintained at from about 100 to about 500 watts, and portions of the chamber may be maintained at temperatures of about -15 °C to about 40 °C. As another example, to etch a second layer 24 comprising silicon oxide deposited from TEOS (Si(OCH3)3), a process gas comprising from about 50 to about 200 seem CF4and from about 15 to about 100 seem N2 may be introduced into the chamber 35. The pressure in the chamber 35 may be maintained at from about 100 to about 500 mTorr, the process electrode R.F. bias power level may be maintained at from about 400 to about 1200 watts, and portions of the chamber may be maintained at temperatures of about -15 °C to about 40 °C.
The radiation 31 incident on the substrate 20 may be provided by a radiation source 58, which may be, for example, a plasma inside or outside the chamber, radiation lamp, LED or laser. The radiation source 58 may provide radiation such as ultraviolet (UV), visible or infrared radiation; or it may provide other types of radiation such as X-rays. The radiation source 58 may comprise, for example, an emission from a plasma generated inside the chamber 28 which is generally multispeetral with multiple wavelengths extending across a spectrum, as shown in Figure 8a, and also generally non-coherent, i.e., with multiple phases. The radiation source 58 may also be positioned outside the chamber 35 so that the radiation 31 may be transmitted from the source 58 through a window 130 and into the chamber 35, as shown in Figure 8b. The radiation source 58 may also provide radiation having predominant characteristic wavelengths, for example, a single wavelength, such as monochromatic light, as provided by a He-Ne or Nd-YAG laser. The laser source also provides coherent light with a predominant or single phase. Alternatively, the radiation source 58 may comprises a lamp that provides a radiation emission having multiple wavelengths, such as polychromatic light, which may be selectively filtered to a single wavelength. Suitable radiation sources 58 for providing polychromatic light include Hg discharge lamps that generate a polychromatic light spectrum having wavelengths in a range of from about 180 to about 600 nanometers; arc lamps such as xenon or Hg-Xe lamps and tungsten-halogen lamps; and light emitting diodes (LED).
In one version, a non-polarized radiation source 58 that provides a source of non-polarized light, such as ultraviolet, infrared or visible light, is used. The non-polarized source is useful when polarized radiation is preferentially absorbed during the process, by for example, the energized gas or plasma or a residue that accumulates on the chamber window. The polarization state also influences the radiation absorption characteristics in materials having oriented crystalline structures, such as crystals having other than cubic symmetry.
A normal incidence of the radiation onto the substrate 20 may also be used to accurately detect processing endpoints for a substrate 20 having tall and narrowly spaced features, for example, the etch-resistant features, over the layers 22, 24. The normal incident radiation is not blocked from reaching the layers 22, 24 by the height of the etch-resistant material features. However, it should be understood that normal incidence is not necessary for detection of the reflected radiation and that other angles of incidence may be employed.
The radiation may be polarized to a plurality of polarization angles by placing first and second radiation polarizers 59a, 59b in the radiation pathway incident upon and reflected back by the substrate 20. While the present example shows the first and second radiation polarizers 59a, 59b in the pathway of radiation that is incident upon the substrate 20, they can also be in the pathway reflected back by the substrate 20, or they can be part of the radiation detector 54. The first polarizer 59a selectively pass radiation that is oriented at a first polarization angle and the second polarizer 59b selectively passes radiation oriented at a second polarization angle. The first and second polarizers 59a,b may be a single structure or more than one structure. In one version, the polarizers 59a,b comprise radiation permeable material coated with one or more thin films that selectively polarize the radiation passing through the material, or in another version, they may be a rotatable filter. When a rotating polarizer 59a,b is used, the radiation is sampled at periodic intervals to obtain only the reflected radiation signal components that are related to the feature angle orientation.
One or more radiation detectors 54 are used to detect the radiation 31 reflected by the substrate 20. The radiation detectors 54 may comprise a radiation sensor, such as a photovoltaic cell, photodiode, photomultiplier, or phototransistor. The radiation detector 54 provides an electrical output signal in response to a measured intensity of the reflected radiation which may comprise a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. A plurality of radiation detectors 54 may also be used (not shown). For example, a plurality of detectors 54 each set to capture radiation having a different polarization angle may be used. As another example, a plurality of detectors 54 each set to detect either the polarized radiation reflected from the substrate 20 or the radiation emission from the energized gas may be used. The detector 54 provides a signal in relation to the detected radiation to the controller 100. For example, the detector may provide a first signal in relation to the detected intensities of one or more wavelengths of the radiation emission and a second signal in relation to the detected intensities of one or more wavelengths of the polarized radiation reflected from the substrate 20. The detector signals are evaluated to separate the reflected radiation signals from the features 25 and the etch-resistant material 21 reflected radiation components by a controller 100. The controller 100 can also be adapted to evaluate the detected signal to determine the magnitude of radiation having different polarization angles and the intensity of radiation emitted by the energized gas.
The substrate reflected radiation may be detected at a small incident angle or along a substantially vertical direction. The vertical detection angle allows more accurate monitoring of features 25 being processed in the chamber 35, for example, to determine a depth of etching of the features 25 or a depth of material deposited into a feature 25 or as a layer on the substrate 20. The vertical angle is especially desirable when the features 25 being etched have high aspect ratios, and it is difficult for radiation directed at a small incident (or reflected) angle to penetrate the depth of the feature 25 without being blocked by sidewalls of the feature 25 or the sidewalls of the patterned etch-resistant material 21. The vertical detection angle may be obtained by positioning the radiation detector 54, and optionally the radiation source 58 (other than a plasma source which is already above the substrate 20), vertically above the substrate 20.
The chamber 35 may be operated by a controller 100 that executes a computer-readable process control program 102 on a computer system 104 comprising a central processor unit (CPU) 106, such as for example a 68040 microprocessor, commercially available from Synergy Microsystems, California, or a Pentium Processor commercially available from Intel Corporation, Santa Clara, California, that is coupled to a memory 108 and peripheral computer components. The memory 108 comprises a computer-readable medium having the computer-readable program 102 embodied therein. Preferably, the memory 108 includes a hard disk drive 110, a floppy disk drive 112, and random access memory 114. The computer system 104 further comprises a plurality of interface cards including, for example, analog and digital input and output boards, interface boards, and motor controller boards. The interface between an operator and the controller 110 can be, for example, via a display 118 and a light pen 120. The light pen 120 detects light emitted by the monitor 118 with a light sensor in the tip of the light pen 120. To select a particular screen or function, the operator touches a designated area of a screen on the monitor 118 and pushes the button on the light pen 120. Typically, the area touched changes color, or a new menu is displayed, confirming communication between the user and the controller 110. Computer-readable programs such as those stored on other memory including, for example, a floppy disk or other computer program product inserted in a floppy disk drive 112 or other appropriate drive, or stored on the hard drive, may also be used to operate the controller 100. The process control program 102 generally comprises process control software 124 comprising program code to operate the chamber 28 and its components, process monitoring software 126 to monitor the processes being performed in the chamber 28, safety systems software, and other control software. The computer- readable program 102 may be written in any conventional computer-readable programming language, such as for example, assembly language, C++, Pascal, or Fortran. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in computer-usable medium of the memory 108 of the computer system. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked, compiled object code, the user invokes the object code, causing the CPU 106 to read and execute the code to perform the tasks identified in the program.
Figure 9 is an illustrative block diagram of a hierarchical control structure of a specific embodiment of a process control program 102 according to the present invention. Using a light pen interface, a user enters a process set and chamber number into a process selector program 132 in response to menus or screens displayed on the CRT terminal. The process chamber program 124 includes program code to set the timing, gas composition, gas flow rates, chamber pressure, RF power levels, support position and other parameters of a particular process. The process sets are predetermined groups of process parameters necessary to carry out specified processes. The process parameters are process conditions, including without limitations, gas composition, gas flow rates, pressure, and gas energizer settings. In addition, parameters needed to operate the process monitoring program 126 are also inputted by a user into the process selector program. These parameters include known properties of the materials being processed, especially radiation absorption and reflection properties, such as reflectance and extinction coefficients; process monitoring algorithms that are modeled from empirically determined data; tables of empirically determined or calculated values that may be used to monitor the process; and properties of materials being processed on the substrate.
The process sequencer program 134 comprises program code to accept a chamber type and set of process parameters from the process selector program 132 and to control its operation. The sequencer program 134 initiates execution of the process set by passing the particular process parameters to a chamber manager program 136 that controls multiple processing tasks in the process chamber 28. Typically, the process chamber program 124 includes a substrate positioning program 138, a gas flow control program 140, a gas pressure control program 142, a gas energizer control program 144 and a heater control program 146. Typically, the substrate positioning program 138 comprises program code for controlling chamber components that are used to load the substrate 20 onto the support 32 and optionally, to lift the substrate 20 to a desired height in the chamber 35 to control the spacing between the substrate 20 and the gas inlets 38 of the gas supply 34. The process gas control program 140 has program code for controlling the flow rates of different constituents of the process gas. The process gas control program 140 controls the open/close position of the safety shut-off valves, and also ramps up/down the gas flow controller 40 to obtain the desired gas flow rate. The pressure control program 142 comprises program code for controlling the pressure in the chamber 28 by regulating the aperture size of the throttle valve 44 in the gas exhaust 42 of the chamber. The gas energizer control program 144 comprises program code for setting low and high-frequency RF power levels applied to the process electrodes 52, 54 in the chamber 35. Optionally, the heater control program 146 comprises program code for controlling the temperature of a heater element (not shown) used to resistively heat the support 32 and substrate 20.
The process monitoring program 126 may comprise program code to obtain sample or reference signals from the radiation source 58, radiation detector 54, or controller 100 and processes the signal according to preprogrammed criteria. Typically, a radiation amplitude or spectrum trace is provided to the controller 100 by an analog to digital converter board in the radiation detector 54. The process monitoring program 126 may also send instructions to the controller 100 to operate components such as the radiation source 58, radiation detector 54 and other components. For example, the process monitoring program 126 may send instructions to the controller 100 to operate the radiation detector 54 to detect polarized radiation reflected from the substrate 20 once completion of the first etching stage has been determined. The program may also send instructions to the chamber manager program 136 or other programs to change the process conditions or other chamber settings. The process monitoring program 126 may also comprise program code to obtain and evaluate signals from the radiation detector 54. The program code may be designed to reduce the intensity of undesirable frequency components of the reflected radiation, for example, the frequency components that arise from radiation that is not reflected from the features 25 being processed on the substrate 20. For example, the bandpass filter may be adapted to filter an incoming radiation signal from the detector 54 to obtain a frequency band centered about one or more selected frequencies of the radiation reflected from the substrate 20. The process monitoring program 126 may also comprise program code to evaluate the first signal from the detector 54 that is generated in relation to the detection of the intensities of one or more wavelengths of a radiation emission and the second signal from the detector 54 that is generated in relation to the detection of a polarized radiation reflected from the substrate 20. The process monitoring program may evaluate the first and second signals to determine completion of the first and second etching stages. For example, the process monitoring program 126 may evaluate the first signal by evaluating a change in the intensities, such as an increase in the intensities, of one or more wavelengths of the radiation emission. The process monitoring program 126 may evaluate the second signal by, for example, increasing the intensity of a signal component that arises from the polarized radiation reflected form the feature being etched on the substrate 20 relative to other signal components. The intensity of this signal component may be increased by processing signal components of the detected polarized radiation that are polarized at different angles and determining a ratio or subtraction product of the signal components. The process monitoring program 126 may evaluate the processed second signal to determine the depth of a feature being etched on the substrate 20, thereby allowing for determination of completion of the second etching stage.
To define the parameters of the process monitoring program 126, initially, one or more substrates 20 having predetermined thicknesses of material are selected for processing. Each substrate 20 is placed at one time into the process chamber 35 and process conditions are set to process a material 22 or an underlying material 24 on the substrate 20. Radiation reflected from the substrate and/or emitted from the plasma in the chamber are monitored using one or more radiation detectors 54. After a series of such traces are developed, they are examined to identify a recognizable change in a property of the trace, which is used as input for the computer program, in the form of an algorithm, a table of values, or other criteria for suitable for evaluating an event in the chamber 35 or a property of the substrate 20. For example, the process monitoring program 126 may include program code to evaluate a signal corresponding to an intensity of reflected radiation which may be used to detect both an onset and completion of processing of the substrate 20. As another example, the computer program 126 comprises program code to evaluate first and second signals that correspond to radiation emitted from the plasma and/or reflected from the substrate 20.
Thus, the process monitoring program 126 may comprise program code to analyze an incoming signal trace provided by the radiation detector 54 and determine a process endpoint or completion of a process stage when a desired set of criteria is reached, such as when an attribute of the detected signal is substantially similar to a pre-programmed value. For example, the process monitoring program 126 may comprise program code to determine when a first etching stage to etch a first layer has been completed, or when a second etching stage to etch a feature to a predetermined depth in a second layer 24 has been completed. The process monitoring program 126 may also be used to detect a property of a material being processed on the substrate such as a thickness, or other properties, for example, the crystalline nature, microstructure, porosity, electrical, chemical and compositional characteristics of the material on the substrate 20. The computer program 126 may also be programmed to detect both an onset and completion of processing of the substrate 20, for example, by detecting a change in amplitude or a rate of change of amplitude of the radiation 31. The desired criteria are programmed into process monitoring program 126 as preset or stored parameters and algorithms. The program 126 may also include program code for modeling a trace of radiation, selecting a feature from the modeled trace or allowing a user to select the feature, storing the modeled trace or the feature, detecting a portion of an incoming signal from a radiation detector 54, evaluating the measured signal relative to the stored trace or feature, and calling an end of a process stage of the process being performed on the substrate 20 or displaying a measured property of a material on the substrate 20.
In one version, the process monitoring software comprises program code for continuously analyzing a trace of a measured amplitude of reflected radiation by drawing a . box or "window" around the end portion of the trace and back in time, with signal height and time length established in the preprogrammed algorithm. A set of windows may be programmed to detect a valley or peak in the trace of the reflected intensity, trigger on an upward slope to detect a later endpoint, or to trigger on a downward slope to detect an endpoint before a valley in the trace. The first criterion is met when the signal in the trace becomes too steep and exits or moves out of the preprogrammed box ("WINDOW OUT") or when it becomes gradual and enters the box ("WINDOW IN"). Additional windows are sequentially applied on the moving trace to generate the complete set of criteria to make a determination on whether the change in signal measured in the real time trace is an endpoint of the process, such as an onset or completion of the process, a change in the property of the material, or is only noise. The direction of entering or exiting a box may also be specified as part of the preprogrammed input criteria for operating the process monitoring program 126. Upon detecting an onset or completion of a process, the process monitoring program signals the process chamber program 126 which sends instructions to the controller 100 to change a process condition in a chamber 35 in which the substrate 20 is being processed. The controller 100 is adapted to control one or more of the gas supply 34, gas energizer 46, or throttle valve 44 to change a process condition in the chamber 35 in relation to the received signal.
The data signals received by and/or evaluated by the controller 100 may be sent to a factory automation host computer 300. The factory automation host computer 300 may comprise a host software program 302 that evaluates data from several systems 27, platforms or chambers 35, and for batches of substrates 20 or over an extended period of time, to identify statistical process control parameters of (i) the processes conducted on the substrates 20, (ii) a property that may vary in a statistical relationship across a single substrate 20, or (iii) a property that may vary in a statistical relationship across a batch of substrates 20. The host software program 302 may also use the data for ongoing in-situ process evaluations or for the control of other process parameters. A suitable host software program comprises a WORKSTREAM™ software program available from aforementioned Applied Materials. The factory automation host computer 300 may be further adapted to provide instruction signals to (i) remove particular substrates 20 from the processing sequence, for example, if a substrate property is inadequate or does not fall within a statistically determined range of values, or if a process parameter deviates from an acceptable range; (ii) end processing in a particular chamber 35, or (iii) adjust process conditions upon a determination of an unsuitable property of the substrate 20 or process parameter. The factory automation host computer 300 may also provide the instruction signal at the beginning or end of processing of the substrate 20 in response to evaluation of the data by the host software program 302. It was further discovered that the signal to noise ratio of the reflected radiation signal could be further improved by placing a window 130 through which the radiation detector 54 views radiation reflected off the substrate in a recess 61 in the wall of the chamber 35. Figure 10a is a schematic sectional side view of a chamber having a window 130 in a recess in the wall 51 of the chamber 35, a detector 54 to detect the radiation reflected from the substrate and passing through the window 130 and generate a signal in response to the detected radiation, and a controller 100 to evaluate the detected signal to monitor the process. The window 130 comprises a material that is permeable to the wavelengths of radiation that are monitored by the controller 100. For infrared, visible, and UV radiation, the window 130 may be made of a ceramic, such as for example, one or more of AI2O3, Si, SiO2, TiO2, ZrO2 or mixtures and compounds thereof. The ceramic may also comprise a monocrystalline material, such as for example, sapphire which is monocrystalline alumina and that exhibits good erosion resistance to halogen plasmas, especially fluorine containing plasmas.
The recess 61 in the wall 51 of the chamber 35 is shaped and sized to receive a mask 140 therein, as shown in figure 10. For example, when the mask 140 is cylindrical in shape, the recess 61 may also be cylindrically shaped. The mask 140 is sized to substantially cover the window 130 thereby reducing or preventing the deposition of process residues on the window 130. The mask 140 may be made of a material that is resistant to erosion by the process gas or plasma in the chamber 35, such as a plasma resistant material, for example, one or more of AI2O3, SiO2, AIN, BN, Si, SiC, Si3N4, TiO2, ZrO2, or mixtures and compounds thereof.
The mask 140 comprises one or more apertures 145 therein, as shown in figure 10b. The apertures 145 are shaped and sized to reduce the deposition of process residues therein while allowing a sufficient amount of radiation to pass therethrough to operate the controller 100. For example, the apertures 145 may be shaped and sized to pass both incident and reflected radiation beams therethrough - for interferometric or ellipsometric analysis - or it may be shaped and sized to monitor a spectral emission from the plasma for plasma emission analysis. It is believed that the apertures 145 reduces the deposition of process residues therein by reducing the access of neutral gaseous species (which are often the residue forming species) or by allowing highly energized gaseous ions to etch away process residues that form on the walls of the apertures 145. The aspect ratio and depth of the recess 145 generally control the distance that must be traveled by the energetic gaseous species before they reach the internal surfaces of the recess 145 for example, a window 130 in the recess 145. Suitable apertures 145 comprises an aspect ratio of at least about 0.25:1 and the aspect ratio may also be less than about 12:1. In one version, the apertures 145 comprises an opening size of from about 0.1 to about 50 mm and a depth of from about 0.5 to about 500 mm. The mask 140 may also comprise a plurality of apertures 145, such as for example, a plurality of hexagonal or circular shaped holes.
An electromagnetic field source may be adapted to maintain an electromagnetic field about the window 130. The electromagnetic field source comprises an electrical or magnetic field source. The electromagnetic field applied about the wall 51 may reduce the deposition of process residues on the window 130 in the recess 61 in the wall. For example, in the embodiment shown in Figure 10a, the electromagnetic field source comprises a magnetic field source 195 adapted to maintain a magnetic field near the portion of the wall 51 , about the recess 61 , or across the window 130. The magnetic field source 195 comprises at least one magnet 200 or electromagnet (not shown) that is positioned adjacent or abutting the recess, wall or window 130 to provide magnetic energy thereabout. For example, in one version, the magnetic energy may be confined to the space around the recess 61 or window 130 and may penetrate only a small distance into the chamber 35. In this version, the magnetic field source 195 provides a magnetic field that is preferentially concentrated across the recess 61 or window 130 relative to other portions of the chamber 35. Generally, a suitable magnetic field strength may be from about 10 to about 10,000 Gauss, and more preferably from about 50 to about 2000 Gauss, but the actual magnetic strength selected would depend upon the window size, energy of the plasma ions, and other factors. In the embodiment illustrated in Figure 10a, the magnetic field source 195 comprises a plurality of magnetic poles 200 disposed about a perimeter of the recess in the wall and having opposing magnetic polarities
In another embodiment, as illustrated in Figure 11 , the electromagnetic field source comprises an electrical field source 220 that provides electrical energy about the wall 51 , recess 61 or across the window 130 (as shown) to maintain an electrical field thereabout. It is believed that the electrical field reduces the deposition of process residues on the wall 51, in the recess 61, or on the window 130, for example, by repelling the charged residue forming species or by causing the energized gaseous species to bombard the window 130 to etch away the process residues. The electric field source 220 may comprise an electrode 225 that is adjacent to, abutting, or behind the wall 51 , about the recess 61 , or near the window 130, to couple electrical energy thereabout. The electrical field may be adapted to have electrical field components which are parallel or perpendicular to the plane of the wall 51 or window 130. The electrode 225 may be sized sufficiently large to provide an electric field that covers an entire area of the wall 51 or the window 130. The electrode 225 may also comprise eddy current reducing slots that are shaped and sized to reduce any eddy currents that may be induced in the electrode 225. A voltage source 245 electrically biases the electrode 225 with a DC, AC or RF voltage, typically of from about 10 to about 10,000 volts, and more preferably from about 20 to about 4000 volts.
Figure 12 shows the attenuation of radiation over processing time for a bare window, a recessed window 130, and a recessed window 130 with an adjacent magnet 200. It can be seen that radiation passing through a bare, unrecessed window lacking an electromagnetic field source reaches the maximum acceptable attenuation at less than 40 plasma process hours. In comparison, radiation passing through a recessed window 130 reaches the maximum acceptable attenuation in around 100 hours and the radiation passing through a recessed window 130 comprising an adjacent magnet 200 reaches a maximum acceptable attenuation after 100 hours. This data shows that a recessed window 130 provides a substantial reduction in the attenuation of the radiation intensity during a plasma process. Adding an electromagnetic field source, in this case an adjacent magnet 200, substantially enhances this reduction in attenuation.
Examples
The following examples demonstrates the effectiveness of the present invention. However, the present invention may be used in other processes and for other uses as would be apparent to those of ordinary skill in the art and the invention should not be limited to the examples provided herein.
Example 1 In this example, features 25 were etched in a substrate 20 in a magnetically enhanced etching chamber with a recessed window covered by a mask and having a magnetic field generator about the window, as for example illustrated in Figure 10a. The substrate 20 being etched was a silicon wafer comprising a dielectric layer 22 comprising a 1 micron silicon dioxide layer, a 0.1 micron silicon nitride layer, and a 1 micron silicon dioxide layer. An overlying patterned photoresist layer 21 covered the dielectric layer 22. The dielectric layer 22 was etched using a process gas comprising 40 seem CHF3, 20 seem CF4, and 50 seem Ar. The pressure in the chamber was maintained at 200 mTorr, the process electrode R.F. bias power level at 1300 watts, and portions of the chamber were maintained at temperatures of about 15 °C. The etched features 25 had openings sized from about 0.4 micron to about 1 micron, the exposed dielectric (silicon dioxide) area on the silicon wafer was from about 5% to about 50%.
In this example, the radiation reflected from the substrate 20 was detected in two polarization angles, and a bandpass filter was used to evaluate the signal generated from the radiation detector. The first and second radiation detectors were used to detect and measure the p-component and s-component of the polarized radiation. The radiation incident upon the substrate 20 comprised radiation having a wavelength of 254 nm. A passband filter placed in the radiation path was adapted to selectively pass thorough radiation having frequencies within a passband range that was centered about the radiation frequency reflected from the features 25 being etched in the substrate 20.
Figure 13 shows a signal trace obtained after polarization of the radiation, ratioing the detected polarized radiation signals, and processing the ratioed signal through two cycles in a bandpass filter. The incident radiation had a wavelength of 254 nm. The ratio of the radiation reflected from the features 25 and the etch-resistant material 21 and was determined. The ratioed signal trace was processed through two cycles of a bandpass filter. For a substrate having an open oxide area of 50%, the predicted etch depth was identical to the measured etch depth, both at about 0.46 micron. When the same tests were conducted on a substrate having an open oxide area of 30%, the predicted etch depth at 0.49 micron was slightly different from the measured etch depth was 0.5 micron; and for an open oxide area of 20%, the predicted etch depth was 0.46 micron for a measured etch depth of 0.48 micron. These results demonstrate the accuracy of the present method and apparatus. Example 2
In this example, features 25 were etched in a substrate 20 comprising a first layer 22 having a first index of refraction and a second layer 24 having a second index of refraction. The etching process was performed in a magnetically enhanced etching chamber 35 with a recessed window 61 covered by a mask 140 and having a magnetic field source 195 about the window 61, as for example illustrated in Figure 9. The substrate 20 was a silicon wafer comprising, from top to bottom a silicon carbide layer having a thickness of 500 Λ, a dielectric layer 24 comprising silicon oxide deposited from TEOS (Si(OCH3)3) having a thickness of 1 micron, and an antireflective layer 22 comprising black diamond™ comprising carbon and hydrogen having a thickness of 600 A. An overlying patterned photoresist layer 21 covered the antireflective layer 22.
In a first etching stage, the antireflective layer 22 was etched using an energized process gas comprising 50 seem CF4. The pressure in the chamber was maintained at 50 mTorr, the process electrode R.F. bias power level at 300 watts, and portions of the chamber were maintained at a temperature of 15 °C . The intensity of a wavelength of the radiation emission from the energized process gas was monitored at a wavelength of 3865 A to determine completion of the first etching stage. Figure 14a shows the observed intensity of the wavelength of radiation as a function of time. In this figure, the intensity of the wavelength of radiation decreases until about 20 seconds. After this point, the intensity can be seen to sharply increase, indicating that the antireflective layer 22 has been etched through to expose the dielectric layer 24.
Thereafter, the dielectric layer 24 was etched in a second etching stage using an energized process gas comprising 100 seem CF4and 30 seem N2. The pressure in the chamber was maintained at 200 mTorr, the process electrode R.F. bias power level at 800 watts, and portions of the chamber were maintained at a temperature of 15 °C. The radiation reflected from the substrate 20 was detected in two polarization angles to determine completion of the second etching stage. The two polarization angles comprised an angle that was substantially perpendicular to a principle orientation of a feature being etched on the substrate and a second angle that was substantially parallel to the principle orientation of the feature. The radiation incident upon the substrate 20 comprised radiation having a wavelength of 254 nm. Figure 14b shows a signal trace obtained after polarization of the radiation reflected from the substrate and ratioing the detected polarized radiation signals. Based on this signal, the endpoint of the etching process was determined to be at 15 seconds at an etching depth of 800 A.
These results demonstrate the accuracy of the present method and apparatus. The present method and apparatus allow for the determination of completion of a first etching stage to etch a first layer 22 and a second etching stage to etch a second layer 24 on a substrate 20. In particular, in the etching of a substrate 20 comprising a first layer 22 comprising a first index of refraction and a second layer 24 comprising a second index of refraction, the present method and apparatus allow for more accurate determination of the completion of the second etching stage to etch the second layer 24, by first determining when the first layer 22 has been etched through to expose the second layer 24. By determining completion of the first etching stage to etch the first layer 22, monitoring of the reflected polarized radiation may commence at the point at which the second layer 24 has begun to be etched, thereby reducing the possibility of miscalculating completion of the second etching stage.
The present invention is described with reference to certain preferred versions thereof, however, other versions are possible. For example, the endpoint detection process can be used for detecting endpoints in other processes and in other chambers as would be apparent to one of ordinary skill, including without limitation, other types of etching chambers, including but not limited to, eapaeitively coupled chambers, ion implantation chambers, and deposition chambers such as PVD or CVD chambers. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Claims

What is claimed is:
1. A substrate processing apparatus comprising: a chamber capable of processing a substrate; a radiation source to provide a radiation; a radiation polarizer adapted to polarize the radiation to one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate; a radiation detector to detect radiation reflected from the substrate during processing and generate a first signal; and a controller to process the first signal.
2. An apparatus according to claim 1 wherein the feature comprises a principal orientation, and wherein the radiation is polarized to a first polarization angle substantially parallel to the principal orientation and a second polarization angle substantially perpendicular to the principal orientation.
3. An apparatus according to claim 1 wherein the controller processes the first signal to increase the intensity of a signal component arising from the radiation reflected by the feature being processed in the substrate relative to other signal components.
4. An apparatus according to claim 1 wherein the controller determines a ratio or subtraction product of signal components of reflected radiation that are polarized at different polarization angles.
5. An apparatus according to claim 1 wherein the controller comprises a bandpass filter that increases the intensity of a signal component arising from the radiation reflected by the feature being processed in the substrate relative to other signal components.
6. An apparatus according to claim 1 wherein: the substrate comprises a first layer and a second layer below the first layer; the radiation source comprises an energized gas in the chamber that generates a radiation emission; the radiation detector is further adapted to detect the intensities of one or more wavelengths of the radiation emission and generate a second signal in relation to the detected intensities; and the controller is further adapted to evaluate the second signal to determine a change in the intensities of the one or more wavelengths of the radiation emission that arise during etching of the second layer to determine completion of etching of the first layer.
7. An apparatus according to claim 1 wherein: the chamber comprises a substrate support, gas supply, gas energizer, and gas exhaust; and the controller processes the first signal to detect an attribute in the signal related to a process onset, completion or later endpoint, the attribute comprising a valley or peak, an upward slope, or a downward slope in the signal; and the controller operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to change a process condition upon detection of the signal attribute.
8. A method of processing a substrate in a process zone, the method comprising the steps of:
(a) providing a substrate in the process zone;
(b) setting process conditions to process the substrate with an energized gas;
(c) providing radiation that is polarized at one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate;
(d) detecting radiation reflected from the substrate and generating a signal in response to the detected radiation; and
(e) processing the signal.
9. A method according to claim 8 wherein in (c) the one or more polarization angles comprise a first polarization angle substantially parallel to the feature orientation and a second polarization angle substantially perpendicular to the feature orientation.
10. A method according to claim 8 wherein (e) comprises determining a depth of the feature.
11. A method according to claim 8 wherein (e) comprises increasing the intensity of a signal component that arises from the radiation reflected by a feature being processed in the substrate relative to other signal components.
12. A method according to claim 8 wherein (e) comprises determining a ratio or subtraction product of signal components of reflected radiation that are polarized at different polarization angles.
13. A method according to claim 8 wherein (c) comprises increasing the intensity of a signal component arising from the radiation reflected by the feature being processed in the substrate relative to other signal components by filtering the signal to selectively pass through a frequency passband relating to an intensity modulation frequency of the radiation reflected from the feature being processed on the substrate.
14. A method according to claim 8 wherein the substrate comprises a first layer and a second layer below the first layer, and the energized process gas in the process zone generates a radiation emission, the method further comprising:
(1 ) determining completion of etching of the first layer by (i) detecting the intensities of one or more wavelengths of the radiation emission, (ii) generating a first signal in relation to the detected intensities, and (iii) evaluating the first signal to determine a change in the intensities of one or more wavelengths of the radiation emission that arises from etching of the second layer; and
(2) monitoring the depth of etching of the second layer by steps (c) through (e).
15. A method according to claim 8 wherein (e) comprises analyzing the signal to detect an attribute in the signal related to a process onset, completion or later endpoint, the attribute comprising a valley or peak, an upward slope, or a downward slope in the signal, and wherein the method further comprises: changing a process condition upon detection of the signal attribute.
16. A substrate processing apparatus comprising: a process chamber comprising a substrate support, gas inlet, gas energizer, gas exhaust, and a wall having a recess with a window therein and a mask over the window; and a process monitoring system capable of monitoring a process that may be conducted in the process chamber, through the window in the recess of the wall.
17. An apparatus according to claim 16 wherein the mask comprises one or more apertures sized to reduce the deposition of process residues therein, and wherein the apertures comprise an aspect ratio of at least about 0.25:1 .
18. An apparatus according to claim 16 further comprising an electromagnetic field source adapted to maintain an electromagnetic field about the window, the electromagnetic field source comprising an electrical or magnetic field source.
19. A substrate processing apparatus comprising: a chamber capable of processing a substrate; a radiation source to provide a radiation; a radiation detector to detect radiation reflected from the substrate during processing and generate a signal; and a bandpass filter to filter the signal.
20. An apparatus according to claim 19 wherein the bandpass filter increases the intensity of a signal component arising from the radiation reflected by a feature being processed on the substrate relative to other signal components.
21. An apparatus according to claim 19 wherein the bandpass filter selectively passes through signal frequencies within a frequency passband that is selected in relation to an intensity modulation frequency of radiation reflected from the feature being processed on the substrate.
PCT/US2001/049437 2000-10-23 2001-10-23 Monitoring substrate processing using reflected radiation WO2002035586A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002538471A JP4098621B2 (en) 2000-10-23 2001-10-23 Apparatus and method for processing a substrate
EP01988945A EP1352415A2 (en) 2000-10-23 2001-10-23 Monitoring substrate processing using reflected radiation
KR1020037005580A KR100927557B1 (en) 2000-10-23 2001-10-23 How to Monitor Substrate Processing Using Reflected Radiation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/695,577 2000-10-23
US09/695,577 US6831742B1 (en) 2000-10-23 2000-10-23 Monitoring substrate processing using reflected radiation
US09/803,080 US6559942B2 (en) 2000-10-23 2001-03-08 Monitoring substrate processing with optical emission and polarized reflected radiation
US09/803,080 2001-03-08

Publications (2)

Publication Number Publication Date
WO2002035586A2 true WO2002035586A2 (en) 2002-05-02
WO2002035586A3 WO2002035586A3 (en) 2003-08-07

Family

ID=27105602

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/049437 WO2002035586A2 (en) 2000-10-23 2001-10-23 Monitoring substrate processing using reflected radiation

Country Status (5)

Country Link
EP (1) EP1352415A2 (en)
JP (3) JP4098621B2 (en)
CN (1) CN100459027C (en)
TW (1) TW510008B (en)
WO (1) WO2002035586A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1416247A1 (en) * 2002-11-01 2004-05-06 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
EP1517357A2 (en) * 2003-08-21 2005-03-23 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
WO2006131670A2 (en) * 2005-06-08 2006-12-14 Alcatel Lucent Gas controlling device
EP2213764A3 (en) * 2009-01-30 2012-11-14 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US8974628B2 (en) 2010-08-26 2015-03-10 Tokyo Electron Limited Plasma treatment device and optical monitor device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4400406B2 (en) 2004-10-08 2010-01-20 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP5149610B2 (en) * 2007-12-19 2013-02-20 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP5559505B2 (en) * 2009-09-30 2014-07-23 東京エレクトロン株式会社 Plasma processing equipment
DE102014115708A1 (en) * 2014-10-29 2016-05-04 Aixtron Se Method for separating a carbon structure from a seed structure
CN111771262B (en) 2019-02-01 2023-12-08 株式会社日立高新技术 Etching method and plasma processing apparatus
CN113287190B (en) * 2019-12-20 2023-12-22 株式会社日立高新技术 Plasma processing apparatus and wafer processing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5552016A (en) * 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4927485A (en) * 1988-07-28 1990-05-22 Applied Materials, Inc. Laser interferometer system for monitoring and controlling IC processing
JPH0997783A (en) * 1995-09-28 1997-04-08 Nec Corp Plasma processing device
JPH09126991A (en) * 1995-10-27 1997-05-16 Hitachi Ltd Spectral detection method and its device and ultra-fine machining method and device used for it
JPH10239028A (en) * 1997-02-27 1998-09-11 Toshiba Corp Etching depth measuring method and its device
JPH10294305A (en) * 1997-04-18 1998-11-04 Hitachi Ltd Production of semiconductor and semiconductor device
JPH1167732A (en) * 1997-08-22 1999-03-09 Matsushita Electron Corp Monitoring method of plasma process and monitoring apparatus
US6060328A (en) * 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000012527A (en) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd Method and apparatus for determining etching end point
EP1095264A1 (en) * 1998-07-11 2001-05-02 Vorgem Limited Improved process monitor
KR100275671B1 (en) * 1998-08-26 2001-02-01 윤종용 Plasma etching equipment
JP3383236B2 (en) * 1998-12-01 2003-03-04 株式会社日立製作所 Etching end point determining method and etching end point determining apparatus
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5552016A (en) * 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
BIOLSI P ET AL: "AN ADVANCED ENDPOINT DETECTION SOLUTION FOR <1% OPEN AREAS" SOLID STATE TECHNOLOGY, COWAN PUBL.CORP. WASHINGTON, US, vol. 39, no. 12, 1 December 1996 (1996-12-01), pages 59,61-62,64,67, XP000632988 ISSN: 0038-111X *
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 14, 31 December 1998 (1998-12-31) & JP 10 239028 A (TOSHIBA CORP), 11 September 1998 (1998-09-11) *
See also references of EP1352415A2 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7652774B2 (en) 2002-11-01 2010-01-26 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
US8130382B2 (en) 2002-11-01 2012-03-06 Applied Materials, Inc. Determining endpoint in a substrate process
US7969581B2 (en) 2002-11-01 2011-06-28 Applied Materials, Inc. Determining endpoint in a substrate process
EP1416247A1 (en) * 2002-11-01 2004-05-06 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
US7808651B2 (en) 2002-11-01 2010-10-05 Applied Materials, Inc. Determining endpoint in a substrate process
US7306696B2 (en) 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
EP1517357A2 (en) * 2003-08-21 2005-03-23 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
EP1517357A3 (en) * 2003-08-21 2009-04-15 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
EP1760763A3 (en) * 2005-06-08 2007-04-04 Alcatel Lucent Control device for gas species by optical emission spectrometry on a plasma
WO2006131670A3 (en) * 2005-06-08 2007-04-12 Alcatel Lucent Gas controlling device
EP1760763A2 (en) * 2005-06-08 2007-03-07 Alcatel Control device for gas species by optical emission spectrometry on a plasma
WO2006131670A2 (en) * 2005-06-08 2006-12-14 Alcatel Lucent Gas controlling device
EP2213764A3 (en) * 2009-01-30 2012-11-14 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US9243319B2 (en) 2009-01-30 2016-01-26 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US9892947B2 (en) 2009-01-30 2018-02-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US8974628B2 (en) 2010-08-26 2015-03-10 Tokyo Electron Limited Plasma treatment device and optical monitor device

Also Published As

Publication number Publication date
EP1352415A2 (en) 2003-10-15
JP4841507B2 (en) 2011-12-21
CN100459027C (en) 2009-02-04
WO2002035586A3 (en) 2003-08-07
TW510008B (en) 2002-11-11
JP2007329485A (en) 2007-12-20
JP2004518272A (en) 2004-06-17
JP4098621B2 (en) 2008-06-11
CN1636261A (en) 2005-07-06
JP2007294987A (en) 2007-11-08

Similar Documents

Publication Publication Date Title
US6831742B1 (en) Monitoring substrate processing using reflected radiation
JP4841507B2 (en) Apparatus and method for processing a substrate
US7969581B2 (en) Determining endpoint in a substrate process
US6905800B1 (en) Etching a substrate in a process zone
US6449038B1 (en) Detecting a process endpoint from a change in reflectivity
US6824813B1 (en) Substrate monitoring method and apparatus
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
EP1090420A1 (en) Endpoint detection in the fabrication of electronic devices
US6849151B2 (en) Monitoring substrate processing by detecting reflectively diffracted light
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber
EP1124255A2 (en) Etching process in the fabrication of electronic devices

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 018163629

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2002538471

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020037005580

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2001988945

Country of ref document: EP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWP Wipo information: published in national office

Ref document number: 1020037005580

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001988945

Country of ref document: EP