JP2000012527A - Method and apparatus for determining etching end point - Google Patents

Method and apparatus for determining etching end point

Info

Publication number
JP2000012527A
JP2000012527A JP10179419A JP17941998A JP2000012527A JP 2000012527 A JP2000012527 A JP 2000012527A JP 10179419 A JP10179419 A JP 10179419A JP 17941998 A JP17941998 A JP 17941998A JP 2000012527 A JP2000012527 A JP 2000012527A
Authority
JP
Japan
Prior art keywords
end point
etching
etching end
mode
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10179419A
Other languages
Japanese (ja)
Inventor
Shigemasa Nakagawa
繁政 中川
Nobuhiko Yamamoto
伸彦 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Steel Corp
Original Assignee
Sumitomo Metal Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Metal Industries Ltd filed Critical Sumitomo Metal Industries Ltd
Priority to JP10179419A priority Critical patent/JP2000012527A/en
Publication of JP2000012527A publication Critical patent/JP2000012527A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To satisfactory determine the etching end point by the mode decomposition of an input pattern, using an orthogonal function system, in which the time change of the spectrum intensity of a plasma light is set, and determining the etching end point, based on the coefficient values of specified decomposed mode. SOLUTION: An extracted plasma light is converted into a voltage signal through a monochromator and a photomultipier tube and sent to an input part 21 via an A/D converter, an output signal from the input part 21 is sent to a pattern decomposing step 24 via a noise filter 22 and signal pattern forming step 23, a sent pattern function is decomposed for each degree component, using orthonormal functions, only specified determining elements are sent to an end point determining logic 25 which sends the determined result to an output part 26, and the output part 26 gives a detection signal to an etcher controller when the determined result indicates the etching end point, whereby even when the exposed area ratio is low, the etching end point can be satisfactorily determined.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマを使用し
て半導体材料にドライエッチングを施す工程において、
その終点を判定するエッチング終点判定方法及びエッチ
ング終点判定装置に関する。
[0001] The present invention relates to a process for dry-etching a semiconductor material using plasma,
The present invention relates to an etching end point determining method and an etching end point determining apparatus for determining the end point.

【0002】[0002]

【従来の技術】図5は、半導体製造工程におけるプラズ
マエッチング工程を説明する説明図である。図において
6は基板であり、その上部には加工材料である膜31が形
成されている。また更にその上部にはマスク32が塗布さ
れており、該マスク32はエッチング加工部位だけを露光
などの手段によりパターニング除去されている。このよ
うな基板6を図示しないプラズマエッチング装置の反応
室内に固定して、膜31のマスク32が除去されたエッチン
グ加工部位をエッチングする。
2. Description of the Related Art FIG. 5 is an explanatory view for explaining a plasma etching step in a semiconductor manufacturing process. In the figure, reference numeral 6 denotes a substrate, on which a film 31 as a processing material is formed. Further, a mask 32 is applied on the upper portion thereof, and the mask 32 is subjected to patterning removal only by etching or the like only at an etched portion. Such a substrate 6 is fixed in a reaction chamber of a plasma etching apparatus (not shown), and the etched portion of the film 31 from which the mask 32 has been removed is etched.

【0003】プラズマエッチングには、反応ガスに電子
を衝突させたときに発生するプラズマが用いられる。具
体的には、高周波放電又はマイクロ波放電により供給さ
れる電子が反応室内に導入される反応ガス分子と衝突し
て、ガス分子の分解,イオン化,活性化(中性ラジカル
生成)を引き起こし、プラズマを発生させる。プラズマ
中には、様々なイオン種及び励起種(ラジカル)が存在
している。このようにして生成されたラジカルが、基板
6上に形成された膜31の加工部位を揮発性の反応生成物
に変えることによりエッチングが行われる。
[0003] Plasma generated when electrons collide with a reaction gas is used for plasma etching. Specifically, electrons supplied by a high-frequency discharge or a microwave discharge collide with reaction gas molecules introduced into the reaction chamber, causing decomposition, ionization, and activation (neutral radical generation) of the gas molecules, resulting in plasma. Generate. Various ion species and excited species (radicals) exist in the plasma. The radicals generated in this manner change the processed portion of the film 31 formed on the substrate 6 into a volatile reaction product, thereby performing etching.

【0004】このとき、膜31のエッチング未了または基
板6までのオーバーエッチングが生じると断線,短絡な
どの配線不良が生じ、製造される半導体の特性に影響を
与える。従って、エッチングを膜31と基板6との境界部
で終わらせるべく終点を検知することがエッチング工程
における重要な課題になっている。
At this time, if the etching of the film 31 is not completed or the substrate 6 is over-etched, a wiring failure such as a disconnection or a short circuit occurs, which affects the characteristics of a semiconductor to be manufactured. Therefore, it is an important issue in the etching process to detect the end point so that the etching ends at the boundary between the film 31 and the substrate 6.

【0005】このエッチングの終点を検知する従来の方
法として、例えば、特開昭56−115536号公報に開示され
た「ドライエッチング反応の終了時点検出方法」があ
る。これは、ドライエッチング工程においてエッチング
反応中のプラズマ光の特定波長成分を取り出し、その時
間当たりの光量を表す関数の微分値に基づきエッチング
反応終了間際の光量の減少傾向を検知して、その後光量
が一定値を下回った時点をエッチングの終点とする方法
である。また他の従来の方法として、例えば、特開昭60
−62127 号公報に開示された「エッチング終点検出方
法」がある。これは、エッチング反応中のプラズマ光の
一部を選択的に取り出し、その時間当たりの光量を表す
関数の2次微分値に基づき光量の変化量を求め、エッチ
ング反応終了間際の変化量が設定値を上回った時点をエ
ッチングの終点とする方法である。
As a conventional method for detecting the end point of the etching, for example, there is a "method for detecting the end point of the dry etching reaction" disclosed in Japanese Patent Application Laid-Open No. 56-115536. This involves extracting a specific wavelength component of plasma light during an etching reaction in a dry etching process, detecting a decreasing tendency of the light amount immediately before the end of the etching reaction based on a differential value of a function representing the light amount per time, and then detecting the light amount. This is a method in which the point when the value falls below a certain value is set as the end point of the etching. Another conventional method is disclosed in, for example,
There is an "etching end point detection method" disclosed in JP-A-62127. This is because a part of the plasma light during the etching reaction is selectively extracted, the amount of change in the amount of light is obtained based on the second derivative of the function representing the amount of light per time, and the amount of change immediately before the end of the etching reaction is equal to the set value. Is determined as the end point of the etching.

【0006】[0006]

【発明が解決しようとする課題】一般にプラズマ光の光
量即ちスペクトル強度は、ノイズにより高周波が重畳さ
れている。また、エッチングに使用するガス種によって
はスペクトル強度を表す波形に低周波のふらつきが生じ
ていることがある。このようなふらつきはガス種に依存
するプラズマ特有のものであり、回避できないものであ
る。これらの事象はエッチングの終点をスペクトル強度
の変化量に基づき検出する状況における誤検出の原因と
なる。
Generally, a high frequency is superimposed on a light quantity of a plasma light, that is, a spectrum intensity due to noise. Further, depending on the type of gas used for etching, a waveform representing a spectrum intensity may have low-frequency fluctuation. Such fluctuations are peculiar to the plasma depending on the gas type and cannot be avoided. These events cause erroneous detection in a situation where the end point of etching is detected based on the amount of change in spectral intensity.

【0007】前述の従来技術はいずれもスペクトル強度
の変化量を終点検出に利用するものである。従って例え
ば、シリコン酸化膜のコンタクトホール及びヴィアホー
ルなどのホールエッチング工程のようにエッチング処理
面積(基板面積)に占めるエッチング対象部(マスクさ
れていない部分)の面積の割合(以下、エッチング開口
率という)が小さい場合、具体的には略4パーセント以
下の場合、利用すべきスペクトル強度の変化量とこれに
重畳されたノイズ成分との格差が微小なために検出精度
は劣悪であり、更に1パーセント以下の場合はスペクト
ル強度とそのノイズ成分との区別を付けて終点を判定す
ることは極めて困難である。
[0007] All of the above-mentioned prior arts utilize the amount of change in spectral intensity for end point detection. Therefore, for example, the ratio of the area of the portion to be etched (unmasked portion) to the area to be etched (substrate area) as in a hole etching process for a contact hole and a via hole of a silicon oxide film (hereinafter referred to as an etching aperture ratio) ) Is small, specifically, about 4% or less, the detection accuracy is poor because the difference between the amount of change in the spectrum intensity to be used and the noise component superimposed on it is small, and further 1% In the following cases, it is extremely difficult to determine the end point by distinguishing between the spectral intensity and its noise component.

【0008】本発明は斯かる事情に鑑みてなされたもの
であって、エッチング反応中のプラズマ光の信号変化を
パターンとしてとらえ、この信号変化パターンを直交関
数系を使用して解析することによってその変化傾向を明
らかにし、エッチング開口率が小さい場合においても良
好にエッチングの終点を判定することが可能なエッチン
グ終点判定方法の提供を目的とする。
The present invention has been made in view of the above-mentioned circumstances, and a signal change of plasma light during an etching reaction is taken as a pattern, and the signal change pattern is analyzed by using an orthogonal function system. It is an object of the present invention to provide an etching end point determination method capable of clarifying a change tendency and appropriately determining an etching end point even when an etching aperture ratio is small.

【0009】[0009]

【課題を解決するための手段】第1発明に係るエッチン
グ終点判定方法は、基板上に形成された膜をプラズマに
よりエッチングする際に、エッチング反応中のプラズマ
光の特定波長成分を一または複数取り出し、そのスペク
トル強度の変化によりエッチング終点を判定するエッチ
ング終点判定方法であって、取り出されたプラズマ光の
スペクトル強度の所定期間内の経時変化を入力パターン
と設定し、設定された入力パターンを直交関数系を用い
てモード分解し、分解されたモードの係数の内、所定の
モードの係数の値に基づきエッチング終点を判定するこ
とを特徴とする。
According to a first aspect of the present invention, there is provided a method for determining an etching end point, comprising extracting one or more specific wavelength components of plasma light during an etching reaction when a film formed on a substrate is etched by plasma. An etching end point determination method for determining an etching end point based on a change in the spectrum intensity, wherein a temporal change in the spectrum intensity of the extracted plasma light within a predetermined period is set as an input pattern, and the set input pattern is defined as an orthogonal function. A mode is decomposed using a system, and an etching end point is determined based on a value of a coefficient of a predetermined mode among coefficients of the decomposed mode.

【0010】第2発明に係るエッチング終点判定方法
は、取り出されたプラズマ光の複数の波長成分の夫々に
ついて、そのスペクトル強度の所定期間内の経時変化を
直交関数系を用いてモード分解して得られるモードの係
数の値に基づきエッチング終点を推定し、各波長成分に
ついて推定したエッチング終点を総合してエッチング終
点を判定することを特徴とする。
[0010] A method of determining an etching end point according to a second aspect of the present invention is to obtain a plurality of wavelength components of the extracted plasma light by modal decomposition of a temporal change in the spectrum intensity within a predetermined period using an orthogonal function system. The etching end point is estimated based on the value of the coefficient of the given mode, and the etching end point is determined by summing up the etching end points estimated for each wavelength component.

【0011】第3発明に係るエッチング終点判定方法
は、所定のモードの係数の値が所定の第1のしきい値と
所定回数交差した後、第2のしきい値と所定回数交差し
たことを以てエッチング終点に達したことを推定または
判定することを特徴とする。
According to a third aspect of the present invention, in the method of determining an end point of etching, a value of a coefficient in a predetermined mode crosses a predetermined first threshold value a predetermined number of times and then crosses a second threshold value a predetermined number of times. It is characterized by estimating or determining that the etching end point has been reached.

【0012】第4発明に係るエッチング終点判定方法
は、設定された入力パターンにノイズ除去処理を施し、
処理後の入力パターンを直交関数系を用いてモード分解
することを特徴とする。
[0012] A method of determining an etching end point according to a fourth aspect of the present invention performs a noise removal process on a set input pattern.
It is characterized in that the input pattern after processing is subjected to mode decomposition using an orthogonal function system.

【0013】第5発明に係るエッチング終点判定装置
は、基板上に形成された膜をプラズマによりエッチング
する際に、エッチング反応中のプラズマ光の特定波長成
分を一または複数取り出し、そのスペクトル強度の変化
によりエッチング終点を判定するエッチング終点判定装
置であって、取り出されたプラズマ光のスペクトル強度
の所定期間内の経時変化を入力パターンと設定する設定
手段と、設定された入力パターンを直交関数系を用いて
モード分解する分解手段と、分解されたモードの係数の
内、所定のモードの係数の値に基づきエッチング終点を
判定する判定手段とを備えることを特徴とする。
According to a fifth aspect of the present invention, there is provided an etching end point judging apparatus which extracts one or more specific wavelength components of plasma light during an etching reaction when etching a film formed on a substrate by plasma, and changes a spectrum intensity thereof. An etching end point determining apparatus that determines an etching end point by using an input function, a setting unit that sets a temporal change in the spectral intensity of the extracted plasma light within a predetermined period as an input pattern, and using the set input pattern by an orthogonal function system. And a determination unit for determining an etching end point based on a value of a coefficient of a predetermined mode among the coefficients of the decomposed mode.

【0014】以下に、直交関数系として正規直交関数系
を用いるパターン解析の概略を説明する。光電変換後の
信号をA/D変換するA/Dコンバータのサンプリング
周期をΔTとし、時刻t=kΔTにおける出力信号をy
(k)と表すものとする。y(k)はノイズ成分を有す
るため、例えばN個の移動平均を算出することにより簡
易的にノイズ成分を除去しておく。ノイズ成分を除去し
た後の信号をy m(k)とすると、y m(k)は以下に
示すように表される。
The outline of pattern analysis using an orthonormal function system as the orthogonal function system will be described below. The sampling period of the A / D converter for A / D converting the signal after photoelectric conversion is ΔT, and the output signal at time t = kΔT is y
(K). Since y (k) has a noise component, the noise component is simply removed by calculating, for example, N moving averages. Assuming that the signal after removing the noise component is y m (k), y m (k) is expressed as follows.

【0015】[0015]

【数1】 (Equation 1)

【0016】図6は、反応ガスとしてC4 8 およびO
2 を用いるシリコン酸化膜のエッチング工程において、
エッチング開口率が4パーセントである場合の出力信号
y(k)の変化を示すグラフである。図は、横軸に時間
を、また縦軸にスペクトル強度を割り当てて出力信号y
(k)を表しており、その波形から出力信号y(k)が
全体的には略一定の振幅を以て激しく振動しつつ緩やか
な増加傾向にあり、測定開始から43秒辺りで一度緩やか
な減少傾向に転じた後に、48秒辺りから再び緩やかな増
加傾向に転じている様子が窺える。また同様に、エッチ
ング開口率が1パーセントの場合の出力信号y(k)の
変化を示すグラフを図7に示す。出力信号y(k)は略
一定の振幅を以て激しく振動しつつ一様に緩やかな増加
傾向にある様子が窺える。
FIG. 6 shows C 4 F 8 and O as reactive gases.
In the silicon oxide film etching process using 2 ,
It is a graph which shows the change of the output signal y (k) when an etching aperture ratio is 4%. In the figure, the horizontal axis represents time, and the vertical axis represents spectrum intensity, and the output signal y
(K), from which the output signal y (k) generally shows a gradual increase while vibrating violently with a substantially constant amplitude, and a gradual decrease once around 43 seconds from the start of measurement. After turning to, it can be seen that the trend is gradually increasing again around 48 seconds. Similarly, FIG. 7 is a graph showing a change in the output signal y (k) when the etching aperture ratio is 1%. It can be seen that the output signal y (k) has a uniform and gradually increasing tendency while vibrating violently with a substantially constant amplitude.

【0017】また、図6に示した出力信号y(k)から
ノイズ成分を除去した信号y m(k)の変化を示すグラ
フを図8に示す。図は、横軸に時間を、また縦軸にスペ
クトル強度を割り当てて信号y m(k)を表しており、
その波形から出力信号y(k)において見られる略一定
振幅の振動がフィルタリングされ、出力信号y(k)の
増加および減少傾向がより明確に現れている。更にまた
同様に、図7に示した出力信号y(k)からノイズ成分
を除去した信号y m(k)の変化を示すグラフを図9に
示す。出力信号y(k)において見られる略一定振幅の
振動がフィルタリングされ、出力信号y(k)の増加傾
向がより明確に現れている。なお、ノイズ成分の除去方
法として移動平均法を例示したが、信号処理技術の分野
において一般的な、例えばバターワースフィルタ(また
はワーグナフィルタ)を使用しても良い。
FIG. 8 is a graph showing a change in a signal y m (k) obtained by removing a noise component from the output signal y (k) shown in FIG. In the figure, the horizontal axis represents time, and the vertical axis represents spectrum intensity, and represents the signal y m (k).
From the waveform, the substantially constant amplitude vibration seen in the output signal y (k) is filtered, and the increasing and decreasing tendency of the output signal y (k) appears more clearly. Similarly, FIG. 9 is a graph showing a change in the signal y m (k) obtained by removing the noise component from the output signal y (k) shown in FIG. Oscillations of approximately constant amplitude seen in the output signal y (k) are filtered, and the increasing tendency of the output signal y (k) appears more clearly. Although the moving average method has been exemplified as a method for removing the noise component, a Butterworth filter (or Wagner filter) that is general in the field of signal processing technology may be used.

【0018】次に、上記y m(k)の時系列信号を一定
の時間U=2MΔT分だけ記憶装置に記憶しておき、時
刻t=kΔTにおける信号変化のパターン関数z
k(τ)を次に示すように定義する。
Next, the time series signal of y m (k) is stored in the storage device for a fixed time U = 2MΔT, and the pattern function z of the signal change at time t = kΔT is stored.
k (τ) is defined as follows.

【0019】[0019]

【数2】 (Equation 2)

【0020】次に、時刻t=kΔTにおける信号変化の
パターン関数z k(τ)を、正規直交関数系を用いて複
数の次数の成分に分解する。以下に、正規直交関数系の
一例を示す。 ψ 0(τ)=A ψ 1(τ)=Bτ ψ 2(τ)=Cτ2 +D ψ 3(τ)=Eτ3 +Fτ ψ 4(τ)=Gτ4 +Hτ2 +K …各係数A,B,C,D,E,F,G,H,K,…は以
下に示す正規化直交条件を満たすように決まる係数であ
る。なおδ ij はi=jのときは1であり、またi≠j
のときは0であるような関数である。また記号<,>は
内積を表す。
Next, the pattern function z k (τ) of the signal change at time t = kΔT is decomposed into a plurality of order components using an orthonormal function system. An example of the orthonormal function system is shown below. ψ 0 (τ) = A ψ 1 (τ) = Bτ ψ 2 (τ) = Cτ 2 + D ψ 3 (τ) = Eτ 3 + Fτ ψ 4 (τ) = Gτ 4 + Hτ 2 + K ... coefficients A, B, C, D, E, F, G, H, K,... Are coefficients determined so as to satisfy the following normalized orthogonal condition. Note that δ ij is 1 when i = j, and i ≠ j
Is a function that is 0 when. Symbols <and> represent inner products.

【0021】[0021]

【数3】 (Equation 3)

【0022】信号変化のパターン関数z k(τ)と正規
直交関数系との内積を求めることにより、時刻t=kΔ
Tにおける次数iの成分w i(k)が求まる。以下にw
i(k)を示す。
By calculating the inner product of the signal change pattern function z k (τ) and the orthonormal function system, the time t = kΔ
The component w i (k) of order i in T is obtained. Below w
i (k).

【0023】[0023]

【数4】 (Equation 4)

【0024】以上の関数に基づき複数の次数の成分に分
解されたパターン関数z k(τ)は、正規直交関数系を
用いて以下のように表される。 z k(τ)=w 0(k)ψ 0(τ)+w 1(k)ψ
1(τ)+w 2(k)ψ 2(τ)+w 3(k)ψ
3(τ)+…
The pattern function z k (τ) decomposed into a plurality of order components based on the above function is expressed as follows using an orthonormal function system. z k (τ) = w 0 (k) ψ 0 (τ) + w 1 (k) ψ
1 (τ) + w 2 ( k) ψ 2 (τ) + w 3 (k) ψ
3 (τ) +…

【0025】図10は正規直交関数系を用いてパターン
解析されたパターン関数z k(τ)を説明する説明図で
ある。すなわち低い次数の成分はパターン関数における
単純な変化の成分を表し、また高い次数の成分は複雑な
変化の成分を表す。これらの内、本案に係るエッチング
終点の判定には、パターン関数の低い次元の成分値を使
用する。なお、エッチング開口率が小さい場合にはw i
(k)の絶対値も小さいため、前述のw i(k)に適当
な大きさのゲインQを乗じたW i(k)を第i次成分と
してもよい。以下にW i(k)を示す。 W i(k)=Q×w i(k)
FIG. 10 is an explanatory diagram for explaining a pattern function z k (τ) obtained by pattern analysis using an orthonormal function system. That is, low order components represent components of simple changes in the pattern function, and high order components represent components of complex changes. Among these, the component value of the lower dimension of the pattern function is used for the determination of the etching end point according to the present invention. If the etching aperture ratio is small, w i
Since the absolute value of (k) is also small, W i (k) obtained by multiplying the above-described w i (k) by a gain Q of an appropriate magnitude may be used as the i-th component. The following shows W i (k). W i (k) = Q × w i (k)

【0026】図11は、測定条件がエッチング開口率が
4パーセント,サンプリング周期ΔT=10msecであっ
て、しかも移動平均の個数N=100 ,M=300 ,ゲイン
Q=100,000 とした場合の1次成分W 1(k)の変化を
示すグラフである。図は、横軸に時間を、また縦軸に成
分値を割り当てて1次成分W 1(k)の波形パターンを
表しており、その波形によると、測定開始から成分値の
増加と減少とを交互に繰り返しながら徐々にそのレベル
を下げて、46秒辺りで時間軸と交差してこれを下回る
値、即ち負の値を記録し、その後増加傾向に転じて53秒
辺りで再び時間軸と交差したことが窺える。また図12
は同様に、エッチング開口率が1パーセントの場合の1
次成分W 1(k)の変化を示すグラフである。その波形
によると、測定開始から成分値の増加と減少とを交互に
繰り返しながら徐々にそのレベルを下げて、52秒を過ぎ
た辺りで時間軸と交差してこれを下回る値、即ち負の値
を記録し、その後増加傾向に転じて55秒辺りで再び時間
軸と交差したことが窺える。
FIG. 11 shows the primary components when the measurement conditions are an etching aperture ratio of 4%, a sampling period ΔT = 10 msec, and the number of moving averages N = 100, M = 300, and a gain Q = 100,000. W is a graph showing changes in 1 (k). The figure shows the waveform pattern of the primary component W 1 (k) by assigning time to the horizontal axis and component values to the vertical axis. According to the waveform, the increase and decrease of the component values from the start of measurement are shown. The level is gradually lowered while repeating alternately, crossing the time axis around 46 seconds, recording a value below this, that is, a negative value, then turning to an increasing trend and crossing the time axis again around 53 seconds It can be seen that. FIG.
Is also 1 when the etching aperture ratio is 1%.
Is a graph showing changes in the next component W 1 (k). According to the waveform, the level is gradually lowered while alternately increasing and decreasing the component value from the start of measurement, and the value crosses the time axis below 52 seconds after 52 seconds, that is, a negative value It turned to an increasing trend, and it can be seen that it crossed the time axis again at around 55 seconds.

【0027】なお直交関数系として前述の正規直交関数
系の他には、ルジャンドル関数,エルミート関数及びチ
ェビシェフ関数などがある。先に説明した直交関数系
は、規定となる関数がシンプルで理解し易いという特徴
を有する。また直交関数展開の特徴として、事前に直交
関数の係数を予め求めておくことにより、実際の演算処
理において係数の内積を計算するだけで演算結果が得ら
れるため、リアルタイム処理に適していることが挙げら
れる。更にまた、必要に応じて容易に展開次数を上げる
ことが可能である。
As the orthogonal function system, there are a Legendre function, a Hermitian function, a Chebyshev function and the like in addition to the above-mentioned orthonormal function system. The orthogonal function system described above has a feature that a prescribed function is simple and easy to understand. Another characteristic of orthogonal function expansion is that it is suitable for real-time processing because calculating the coefficient of the orthogonal function in advance allows the calculation result to be obtained simply by calculating the inner product of the coefficients in the actual calculation processing. No. Furthermore, it is possible to easily increase the expansion order as needed.

【0028】エッチング終点の判定について説明する。
終点の判定は、エッチングプロセスに応じて適宜に設定
されたしきい値Vp,Vn(但し、Vn≦Vp)により
規定される値域、即ち値がしきい値Vpより大きい領域
を表す値域Rp,値がしきい値Vn以上かつしきい値V
p以下である領域を表す値域Rz及び値がしきい値Vn
より小さい領域を表す値域Rnのいずれに包含されるか
に基づいて行われる。
The determination of the etching end point will be described.
The determination of the end point is performed in a value range defined by threshold values Vp and Vn (Vn ≦ Vp) appropriately set according to the etching process, that is, a value range Rp and a value range Rp representing a region in which the value is larger than the threshold value Vp. Is greater than or equal to the threshold Vn and the threshold V
The value range Rz representing the region that is equal to or less than p and the threshold value Vn
The determination is performed based on which of the value ranges Rn representing the smaller regions is included.

【0029】具体的には、A/Dコンバータの出力信号
y(k)の変化傾向がダウンスロープである場合、W 1
(k)が値域RnにS回以上属した後に最初に値域Rz
又はRpに至った時刻t=kd ΔTにおいて、エッチン
グ終点の時刻を(kd −αM)ΔTと判定する。αは、
0≦α≦1において適宜に設定される値である。また、
出力信号y(k)の変化傾向がアップスロープである場
合、W 1(k)が値域RpにS回以上属した後に最初に
値域Rz又はRnに至った時刻t=ku ΔTにおいて、
エッチング終点の時刻を(ku −αM)ΔTと判定す
る。
More specifically, if the change tendency of the output signal y (k) of the A / D converter is a down slope, W 1
After (k) belongs to the range Rn S times or more, first the range Rz
Alternatively, at time t = k d ΔT at which Rp is reached, the time of the etching end point is determined to be (k d -αM) ΔT. α is
This value is appropriately set when 0 ≦ α ≦ 1. Also,
When the change trend of the output signal y (k) is upslope, W 1 (k) is at time t = k u ΔT that led to the first range Rz or Rn after belonged least S times range Rp,
Determining the time of etching end point and (k u -αM) ΔT.

【0030】第1乃至第4発明のエッチング終点判定方
法及び第5発明のエッチング終点判定装置は、与えられ
た入力信号の波形パターンを、直交関数系を使用してパ
ターン解析するため、従来技術のように入力信号、具体
的にはプラズマ光のスペクトル強度に重畳されたノイズ
の影響を受け難い。従って、エッチング開口率が小さい
場合においても良好にエッチングの終点を判定すること
ができる。
The etching end point judging method of the first to fourth inventions and the etching end point judging apparatus of the fifth invention analyze the waveform pattern of a given input signal using an orthogonal function system. Thus, it is hardly affected by the noise superimposed on the input signal, specifically, the spectrum intensity of the plasma light. Therefore, even when the etching aperture ratio is small, the end point of the etching can be determined well.

【0031】またエッチング反応中のプラズマ光につい
て、エッチング反応による反応生成物の固有波長の発光
スペクトル及び前記エッチング反応に使用される反応ガ
スの固有波長の発光スペクトルを取り出したとき、エッ
チング終点付近において前者はダウンスロープの挙動を
示し、後者はアップスロープの挙動を示すという特徴を
有する。例えば、C4 8 などのフルオロカーボン系ガ
スによるシリコン酸化膜のエッチング工程では、SiF
の439.7 nmがダウンスロープを、Fの687.5 nmがアップ
スロープを示す。
When the emission spectrum of the reaction product produced by the etching reaction and the emission spectrum of the reaction gas used for the etching reaction are extracted from the plasma light during the etching reaction, the former is obtained near the etching end point. Shows the behavior of a down slope, and the latter shows the behavior of an up slope. For example, in the etching process of the silicon oxide film by fluorocarbon gas such as C 4 F 8, SiF
439.7 nm of F shows a down slope, and 687.5 nm of F shows an up slope.

【0032】図13は、このような2つの特定波長の発
光スペクトル強度を示す説明図である。図は、横軸に時
間を、また縦軸にスペクトル強度を割り当てて2つの特
定波長、即ち反応生成物の固有波長及び反応ガスの固有
波長の発光スペクトル強度の経時変化を表している。エ
ッチング反応開始直後には、反応生成物及び反応ガスの
スペクトル強度が共に不安定な期間が観測される。その
後エッチング反応が進むに伴って、反応生成物のスペク
トル強度Aは高位で、また反応ガスのスペクトル強度B
は低位で安定する(反応期)。そして、エッチング終点
に近付くに従って、反応生成物のスペクトル強度Aは減
少傾向を、また反応ガスのスペクトル強度Bは増加傾向
を夫々示す(終了期)。エッチング終点を越えた後は、
反応生成物のスペクトル強度Aは低位で、また反応ガス
のスペクトル強度Bは高位で安定する。
FIG. 13 is an explanatory diagram showing such emission spectrum intensities at two specific wavelengths. In the figure, the time axis is assigned to the horizontal axis and the spectrum intensity is assigned to the vertical axis, and the change over time in the emission spectrum intensity at two specific wavelengths, namely, the specific wavelength of the reaction product and the specific wavelength of the reaction gas is shown. Immediately after the start of the etching reaction, a period in which the spectrum intensities of the reaction product and the reaction gas are both unstable is observed. Thereafter, as the etching reaction proceeds, the spectrum intensity A of the reaction product is higher and the spectrum intensity B of the reaction gas is higher.
Is stable at a low level (reaction phase). Then, as the etching end point is approached, the spectrum intensity A of the reaction product shows a decreasing tendency, and the spectrum intensity B of the reaction gas shows an increasing tendency (end period). After the etching end point,
The spectral intensity A of the reaction product is stable at a low level, and the spectral intensity B of the reaction gas is stable at a high level.

【0033】このような2つのスペクトル強度の経時的
な特徴に基づき、反応生成物に係る発光スペクトルの波
形パターンと反応ガスに係る発光スペクトルの波形パタ
ーンとを組み合わせ、その夫々の波形パターンに基づき
エッチングの終点を推定し、推定された複数のエッチン
グの終点を総合して、例えばAND条件又はOR条件な
どに基づいてエッチング終点を判定することにより、そ
の判定結果の信頼性を高めることができる。
Based on such two temporal characteristics of the spectrum intensities, the waveform pattern of the emission spectrum related to the reaction product and the waveform pattern of the emission spectrum related to the reaction gas are combined, and the etching is performed based on the respective waveform patterns. Is estimated, and the estimated end points of the plurality of etchings are integrated to determine the etching end point based on, for example, an AND condition or an OR condition, thereby improving the reliability of the determination result.

【0034】第3発明のエッチング終点判定方法は、プ
ラズマ光から複数の波長成分を取り出し、その夫々の波
形パターンの経時変化に基づきエッチング終点を推定
し、推定された複数のエッチング終点を総合してエッチ
ング終点を判定するので、エッチングの終点をより信頼
性高く判定することができる。
In the etching end point judging method according to the third aspect of the present invention, a plurality of wavelength components are extracted from the plasma light, the etching end point is estimated on the basis of the change over time of each waveform pattern, and the estimated etching end points are integrated. Since the etching end point is determined, the etching end point can be determined with higher reliability.

【0035】[0035]

【発明の実施の形態】図1は、本発明に係るエッチング
終点判定方法の実施に係るプラズマエッチング装置の構
成を示すブロック図である。プラズマエッチング装置
は、電子サイクロトロン共鳴 (Electron Cyclotron Res
onance;以下、ECRという)励起によりプラズマを生
成させて、基板上に形成された膜の加工部位、即ちマス
クによりマスクされていない部位をエッチングするもの
である。
FIG. 1 is a block diagram showing the configuration of a plasma etching apparatus according to an embodiment of the present invention. The plasma etching system uses Electron Cyclotron Res
Onance (hereinafter, referred to as ECR) is to generate plasma by excitation to etch a processed portion of a film formed on a substrate, that is, a portion not masked by a mask.

【0036】図において1は円筒形のプラズマ生成室で
あり、該プラズマ生成室1の上部側壁中央には石英ガラ
ス板1aにて封止されたマイクロ波導入口1bが、またマイ
クロ波導入口1bと対面する下部側壁中央にはプラズマ引
出口1cが夫々形成されている。更にまた、プラズマ生成
室1の他の側壁には、その内部に冷却水を通流するため
の通流路2が形成されており、該通流路2には給水管2a
及び排水管2bを接続してある。
In FIG. 1, reference numeral 1 denotes a cylindrical plasma generation chamber, and at the center of the upper side wall of the plasma generation chamber 1, a microwave inlet 1b sealed with a quartz glass plate 1a is provided, and the microwave inlet 1b faces the microwave inlet 1b. A plasma outlet 1c is formed at the center of the lower side wall. Furthermore, a flow passage 2 for flowing cooling water is formed in the other side wall of the plasma generation chamber 1, and the water flow pipe 2 a
And drain pipe 2b.

【0037】マイクロ波導入口1bには、図示しないマイ
クロ波発振器より発信されるマイクロ波の導波管3の一
端部分が接続され、またプラズマ生成室1のプラズマ引
出口1c側には反応室4が連設されている。またプラズマ
生成室1の周囲にはこれと同心円上に、プラズマ生成室
1内に所要強度の磁界を形成するための励磁コイル5を
周設してある。
The microwave introduction port 1b is connected to one end of a microwave waveguide 3 emitted from a microwave oscillator (not shown), and a reaction chamber 4 is provided on the plasma outlet 1c side of the plasma generation chamber 1. It is installed continuously. An exciting coil 5 for forming a magnetic field having a required strength in the plasma generation chamber 1 is provided around the plasma generation chamber 1 and concentrically therewith.

【0038】反応室4の中央には被処理物である基板6
を載置する載置台7を設けてあって、該載置台7には静
電チャック等を用いてなる吸着機構8を設けてあり、ま
た高周波電力が印加される構成となっている。また反応
室4の側部側壁には反応ガス、例えばC4 8 等のフロ
ン系ガスを供給するガス供給管4aが、更に反応室4の下
部側壁中央には排気口4bが設けられている。更にまた反
応室4の側壁には、石英ガラス製のプラズマ光の取出し
窓9が設けられている。
At the center of the reaction chamber 4, a substrate 6 as an object to be processed is provided.
Is provided, and the mounting table 7 is provided with a suction mechanism 8 using an electrostatic chuck or the like, and is configured to apply high frequency power. A gas supply pipe 4a for supplying a reaction gas, for example, a chlorofluorocarbon gas such as C 4 F 8, is provided on a side wall of the reaction chamber 4, and an exhaust port 4b is provided at the center of a lower side wall of the reaction chamber 4. . Further, on the side wall of the reaction chamber 4, there is provided a window 9 for taking out plasma light made of quartz glass.

【0039】反応室4の取出し窓9で取り出されたプラ
ズマ光はモノクロメータ11へ与えられ、そこで所要のス
ペクトル部分、例えば反応ガスがC4 8 等のフロン系
ガスである場合はフッ化シリコン(SiF)の固有波長
439.7 nmのプラズマ光のみが分光されて光電子倍増管12
へ与えられる。光電子倍増管12へ与えられた分光のスペ
クトル強度は電圧信号へと変換されてA/Dコンバータ
13へ与えられ、そこでデジタル信号へと変換される。
The plasma light taken out by the take-out window 9 of the reaction chamber 4 is provided to a monochromator 11 where the required spectral portion, for example, when the reactive gas is fluorocarbon gas such as C 4 F 8 is silicon fluoride Specific wavelength of (SiF)
Only the 439.7 nm plasma light is split and the photomultiplier tube 12
Given to. The spectral intensity of the spectrum applied to the photomultiplier tube 12 is converted into a voltage signal, and is converted into an A / D converter.
13 where it is converted to a digital signal.

【0040】前記デジタル信号は終点検知コンピュータ
14へ与えられ、そこでデータ処理されてエッチング終点
が判定される。終点検知コンピュータ14はエッチング終
点が検出されたとき、検出信号をエッチング装置制御器
15へ与える。エッチング装置制御器15は、前記検出信号
を受け付けたとき、エッチング反応を終了させるべく、
図示しないマイクロ波発振器によるマイクロ波の供給及
び載置台7への高周波電力の供給を停止する。
The digital signal is an end point detection computer.
14 where the data is processed to determine the etch end point. The end point detection computer 14 outputs a detection signal when an etching end point is detected.
Give to 15. Etching apparatus controller 15, when receiving the detection signal, to terminate the etching reaction,
The supply of microwaves by the microwave oscillator (not shown) and the supply of high-frequency power to the mounting table 7 are stopped.

【0041】次に、前述のプラズマエッチング装置の動
作の概略について説明する。プラズマエッチング装置
は、載置台7上に載置された基板6を吸着機構8により
吸着して定着させ、また排気口4bから排気してプラズマ
生成室1及び反応室4内を所要の気圧になした後に、ガ
ス供給管4aから反応ガスを所定流量で供給する。また励
磁コイル5に所定電流を供給してプラズマ生成室1内に
磁界を形成させ、さらにプラズマ生成室1内にマイクロ
波を導入することにより反応ガスをECR励起させてプ
ラズマを生成する。また、載置台7に高周波電力を印加
する。
Next, an outline of the operation of the above-described plasma etching apparatus will be described. The plasma etching apparatus adsorbs and fixes the substrate 6 mounted on the mounting table 7 by the suction mechanism 8, and exhausts the gas through the exhaust port 4 b to reach the required pressure in the plasma generation chamber 1 and the reaction chamber 4. After that, the reaction gas is supplied at a predetermined flow rate from the gas supply pipe 4a. A predetermined current is supplied to the exciting coil 5 to form a magnetic field in the plasma generation chamber 1, and a microwave is introduced into the plasma generation chamber 1 to excite the reaction gas by ECR to generate plasma. Further, high-frequency power is applied to the mounting table 7.

【0042】生成されたプラズマは励磁コイル5によっ
て形成された発散磁界によってプラズマ引出口1cから反
応室4へ導かれ、載置台7上に定着させた基板6へ照射
される。載置台7に印加された高周波電力はプラズマ中
のイオンを加速する。照射されるプラズマが基板6上に
形成された図示しない膜とエッチング反応することによ
って、前記膜のエッチングが行われる。またこのエッチ
ング反応中のプラズマ光は取出し窓9から取り出され、
その所要のスペクトル部分のみがエッチング終点の判定
に使用される。
The generated plasma is guided from the plasma outlet 1c to the reaction chamber 4 by the diverging magnetic field formed by the exciting coil 5, and is irradiated on the substrate 6 fixed on the mounting table 7. The high-frequency power applied to the mounting table 7 accelerates ions in the plasma. The irradiated plasma reacts with a film (not shown) formed on the substrate 6 by etching, so that the film is etched. The plasma light during this etching reaction is extracted from the extraction window 9,
Only the required spectrum portion is used for determining the etching end point.

【0043】図2は、終点検知コンピュータ14の処理過
程を示すデータフロー図である。A/Dコンバータ13の
出力信号y(k)は入力部21において受け付けられ、ノ
イズフィルタ22へ送出される。ノイズフィルタ22へ送出
された出力信号y(k)は、そこで移動平均法などによ
りノイズ成分を除去され、処理後の信号y m(k)は信
号パターン作成工程23へ送出される。信号パターン作成
工程23へ送出された信号y m(k)は、そこで時系列デ
ータであるパターン関数z k(τ)に加工されて、パタ
ーン分解工程24へ送出される。
FIG. 2 is a data flow diagram showing the processing steps of the end point detection computer 14. The output signal y (k) of the A / D converter 13 is received by the input unit 21 and sent to the noise filter 22. The output signal y (k) sent to the noise filter 22 has its noise component removed therefrom by a moving average method or the like, and the processed signal y m (k) is sent to a signal pattern creation step 23. The signal y m (k) sent to the signal pattern creation step 23 is processed into a pattern function z k (τ), which is time-series data, and sent to the pattern decomposition step 24.

【0044】パターン分解工程24へ送出されたパターン
関数z k(τ)は、そこで正規直交関数系を用いて次数
成分毎に分解され、所定の判定要素、例えば1次成分w
1(k)のみが終点判定ロジック25へ送出される。終点
判定ロジック25へ送出された判定要素は、そこで終点判
定を受け、その判定結果は出力部26へ送出される。出力
部26は、与えられた判定結果がエッチング終点を検出し
たことを表すとき、エッチング装置制御器15へ検出信号
を与える。
The pattern function z k (τ) sent to the pattern decomposition step 24 is decomposed there for each order component using an orthonormal function system, and a predetermined judgment element, for example, a primary component w
Only 1 (k) is sent to the end point determination logic 25. The judgment element sent to the end point judgment logic 25 receives the end point judgment there, and the judgment result is sent to the output unit 26. The output unit 26 provides a detection signal to the etching apparatus controller 15 when the given determination result indicates that the etching end point has been detected.

【0045】図3は、図11に表す1次成分W 1(k)
の信号変化パターンについて反復しきい値S=200,
Vp=Vn=0,α=0としたときの判定結果を表すグ
ラフである。図は、横軸に時間を、また縦軸に判定値を
割り当てて判定フラグ(エッチング終点において励起状
態となるフラグ)の値を表しており、測定開始から53秒
辺りで判定フラグの励起があって、エッチング終点が良
好に判定されている状況が窺える。また図4は同様に、
図12に表す1次成分W 1(k)の信号変化パターンに
ついての判定結果を表すグラフである。測定開始から55
秒辺りで判定フラグの励起があって、エッチング開口率
が1パーセントの状況においてもエッチング終点が良好
に判定されていることが判る。
FIG. 3 shows the primary component W 1 (k) shown in FIG.
Iterative threshold S = 200 for the signal change pattern of
It is a graph showing the determination result when Vp = Vn = 0 and α = 0. In the figure, the horizontal axis represents time, and the vertical axis is assigned a judgment value to represent the value of a judgment flag (a flag that becomes an excited state at the end point of etching), and the judgment flag is excited around 53 seconds from the start of measurement. Thus, it can be seen that the etching end point is well determined. Also, FIG.
FIG. 13 is a graph illustrating a determination result regarding a signal change pattern of the primary component W 1 (k) illustrated in FIG. 12. 55 from the start of measurement
It can be seen that the determination flag is excited around second, and that the etching end point is well determined even when the etching aperture ratio is 1%.

【0046】なお、前述の実施の形態においてはエッチ
ングの終点判定のために1次成分w 1(k)のみを使用
する場合について説明したが、さらに他の成分即ち2
次,3次成分などを追加して判定に使用しても良い。
In the above embodiment, the etching is performed.
Component w for determining the end point of 1Use only (k)
Has been described, but another component, namely, 2
Next and third order components may be added and used for the determination.

【0047】[0047]

【発明の効果】以上の如き第1乃至第4発明のエッチン
グ終点判定方法及び第5発明のエッチング終点判定装置
によっては、与えられた入力信号をパターンとしてとら
え、この波形パターンを直交関数系を使用してパターン
解析するために選択的に取り出した分光のスペクトル強
度に重畳されたノイズの影響を受け難く、従って、エッ
チング開口率が小さい場合においても良好にエッチング
の終点を判定することができる。
According to the etching end point judging methods of the first to fourth inventions and the etching end point judging apparatus of the fifth invention, a given input signal is taken as a pattern, and this waveform pattern is used in an orthogonal function system. It is less susceptible to noise superimposed on the spectral intensity of the spectrum selectively extracted for pattern analysis, and therefore, it is possible to determine the end point of etching well even when the etching aperture ratio is small.

【0048】また第3発明のエッチング終点判定方法に
よっては、エッチング中のプラズマ光の複数の波長成分
を取り出し、その夫々の波形パターンの経時変化に基づ
きエッチング終点を判定するので、より確かな判定結果
を得ることができる。
According to the etching end point judging method of the third invention, a plurality of wavelength components of the plasma light during the etching are extracted and the etching end point is judged based on the temporal change of each waveform pattern, so that a more accurate judgment result can be obtained. Can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係るエッチング終点判定方法の実施に
係るプラズマエッチング装置の構成を示すブロック図で
ある。
FIG. 1 is a block diagram showing a configuration of a plasma etching apparatus according to an embodiment of a method for determining an etching end point according to the present invention.

【図2】終点検知コンピュータの処理過程を示すデータ
フロー図である。
FIG. 2 is a data flow diagram showing a process of an end point detection computer.

【図3】1次成分の信号変化パターンについての判定結
果を表すグラフである。
FIG. 3 is a graph showing a determination result for a signal change pattern of a primary component.

【図4】1次成分の信号変化パターンについての判定結
果を表すグラフである。
FIG. 4 is a graph showing a determination result for a signal change pattern of a primary component.

【図5】半導体製造工程におけるプラズマエッチング工
程を説明する説明図である。
FIG. 5 is an explanatory diagram illustrating a plasma etching step in a semiconductor manufacturing process.

【図6】エッチング開口率が4パーセントの場合の出力
信号の変化を示すグラフである。
FIG. 6 is a graph showing a change in an output signal when an etching aperture ratio is 4%.

【図7】エッチング開口率が1パーセントの場合の出力
信号の変化を示すグラフである。
FIG. 7 is a graph showing a change in an output signal when an etching aperture ratio is 1%.

【図8】出力信号からノイズ成分を除去した信号の変化
を示すグラフである。
FIG. 8 is a graph showing a change in a signal obtained by removing a noise component from an output signal.

【図9】出力信号からノイズ成分を除去した信号の変化
を示すグラフである。
FIG. 9 is a graph showing a change in a signal obtained by removing a noise component from an output signal.

【図10】正規直交関数系を用いてパターン解析された
パターン関数を説明する説明図である。
FIG. 10 is an explanatory diagram illustrating a pattern function that has been subjected to pattern analysis using an orthonormal function system.

【図11】エッチング開口率が4パーセントの場合の1
次成分の変化を示すグラフである。
FIG. 11 shows a case where the etching aperture ratio is 4%.
It is a graph which shows the change of the next component.

【図12】エッチング開口率が1パーセントの場合の1
次成分の変化を示すグラフである。
FIG. 12 shows a case where the etching aperture ratio is 1%.
It is a graph which shows the change of the next component.

【図13】2つの特定波長の発光スペクトル強度を示す
説明図である。
FIG. 13 is an explanatory diagram showing emission spectrum intensities at two specific wavelengths.

【符号の説明】[Explanation of symbols]

1 プラズマ生成室 3 導波管 4 反応室 6 基板 13 A/Dコンバータ 14 終点検知コンピュータ 22 ノイズフィルタ 23 信号パターン作成工程 24 パターン分解工程 25 終点判定ロジック DESCRIPTION OF SYMBOLS 1 Plasma generation room 3 Waveguide 4 Reaction room 6 Substrate 13 A / D converter 14 End point detection computer 22 Noise filter 23 Signal pattern creation process 24 Pattern disassembly process 25 End point judgment logic

フロントページの続き Fターム(参考) 2G043 AA03 CA02 CA07 EA08 FA03 FA06 GA06 GB01 JA04 LA02 MA01 MA04 NA01 NA05 5F004 BA14 CB02 CB16 DA00 DA03Continued on the front page F term (reference) 2G043 AA03 CA02 CA07 EA08 FA03 FA06 GA06 GB01 JA04 LA02 MA01 MA04 NA01 NA05 5F004 BA14 CB02 CB16 DA00 DA03

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 基板上に形成された膜をプラズマにより
エッチングする際に、エッチング反応中のプラズマ光の
特定波長成分を一または複数取り出し、そのスペクトル
強度の変化によりエッチング終点を判定するエッチング
終点判定方法であって、 取り出されたプラズマ光のスペクトル強度の所定期間内
の経時変化を入力パターンと設定し、 設定された入力パターンを直交関数系を用いてモード分
解し、 分解されたモードの係数の内、所定のモードの係数の値
に基づきエッチング終点を判定することを特徴とするエ
ッチング終点判定方法。
When etching a film formed on a substrate by plasma, one or more specific wavelength components of plasma light during an etching reaction are extracted, and an etching end point is determined based on a change in spectrum intensity thereof. A method of setting a temporal change in the spectral intensity of the extracted plasma light within a predetermined period as an input pattern, mode-decomposing the set input pattern using an orthogonal function system, and calculating a coefficient of the decomposed mode. Wherein the etching end point is determined based on a value of a coefficient of a predetermined mode.
【請求項2】 取り出されたプラズマ光の複数の波長成
分の夫々について、そのスペクトル強度の所定期間内の
経時変化を直交関数系を用いてモード分解して得られる
モードの係数の値に基づきエッチング終点を推定し、各
波長成分について推定したエッチング終点を総合してエ
ッチング終点を判定することを特徴とする請求項1記載
のエッチング終点判定方法。
2. A method according to claim 1, wherein each of the plurality of wavelength components of the extracted plasma light is etched based on a mode coefficient obtained by modulating the change over time of the spectral intensity within a predetermined period using an orthogonal function system. 2. The method according to claim 1, wherein an end point is estimated, and the etching end point is determined by summing up the etching end points estimated for each wavelength component.
【請求項3】 所定のモードの係数の値が所定の第1の
しきい値と所定回数交差した後、第2のしきい値と所定
回数交差したことを以てエッチング終点に達したことを
推定または判定することを特徴とする請求項1または請
求項2記載のエッチング終点判定方法。
3. After the coefficient value of the predetermined mode crosses the predetermined first threshold value a predetermined number of times, and crosses the second threshold value a predetermined number of times, it is estimated that the etching end point has been reached. The method according to claim 1 or 2, wherein the determination is made.
【請求項4】 設定された入力パターンにノイズ除去処
理を施し、処理後の入力パターンを直交関数系を用いて
モード分解することを特徴とする請求項1乃至3のいず
れかに記載のエッチング終点判定方法。
4. The etching end point according to claim 1, wherein the set input pattern is subjected to noise removal processing, and the processed input pattern is subjected to mode decomposition using an orthogonal function system. Judgment method.
【請求項5】 基板上に形成された膜をプラズマにより
エッチングする際に、エッチング反応中のプラズマ光の
特定波長成分を一または複数取り出し、そのスペクトル
強度の変化によりエッチング終点を判定するエッチング
終点判定装置であって、 取り出されたプラズマ光のスペクトル強度の所定期間内
の経時変化を入力パターンと設定する設定手段と、 設定された入力パターンを直交関数系を用いてモード分
解する分解手段と、 分解されたモードの係数の内、所定のモードの係数の値
に基づきエッチング終点を判定する判定手段とを備える
ことを特徴とするエッチング終点判定装置。
5. When etching a film formed on a substrate by plasma, one or more specific wavelength components of plasma light during an etching reaction are extracted, and an etching end point is determined based on a change in spectrum intensity thereof. An apparatus, comprising: setting means for setting, as an input pattern, a temporal change in the spectrum intensity of the extracted plasma light within a predetermined period; decomposition means for modulating the set input pattern using an orthogonal function system; Determining means for determining an etching end point based on a value of a coefficient of a predetermined mode among the coefficients of the performed mode.
JP10179419A 1998-06-25 1998-06-25 Method and apparatus for determining etching end point Pending JP2000012527A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10179419A JP2000012527A (en) 1998-06-25 1998-06-25 Method and apparatus for determining etching end point

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10179419A JP2000012527A (en) 1998-06-25 1998-06-25 Method and apparatus for determining etching end point

Publications (1)

Publication Number Publication Date
JP2000012527A true JP2000012527A (en) 2000-01-14

Family

ID=16065547

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10179419A Pending JP2000012527A (en) 1998-06-25 1998-06-25 Method and apparatus for determining etching end point

Country Status (1)

Country Link
JP (1) JP2000012527A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6801473B2 (en) * 2002-03-12 2004-10-05 Exxonmobil Upstream Research Company Method for estimating and removing artifact noise from seismic data
JP2006518913A (en) * 2003-02-14 2006-08-17 ユナクシス・ユーエスエイ・インコーポレーテッド Endpoint detection in a time-division multiplexed etch process.
JP2007294987A (en) * 2000-10-23 2007-11-08 Applied Materials Inc Substrate processing apparatus and substrate processing method
US8426315B2 (en) 2005-09-27 2013-04-23 Ricoh Company, Ltd. Method of manufacturing semiconductor device
KR101356853B1 (en) * 2012-11-12 2014-01-29 고려대학교 산학협력단 Apparatus for detecting an etching end point and method of detecting an etching end point
CN104299880A (en) * 2013-07-18 2015-01-21 株式会社日立高新技术 Plasma processing apparatus and operational method thereof

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007294987A (en) * 2000-10-23 2007-11-08 Applied Materials Inc Substrate processing apparatus and substrate processing method
JP2007329485A (en) * 2000-10-23 2007-12-20 Applied Materials Inc Apparatus and method for processing substrate
US6801473B2 (en) * 2002-03-12 2004-10-05 Exxonmobil Upstream Research Company Method for estimating and removing artifact noise from seismic data
JP2006518913A (en) * 2003-02-14 2006-08-17 ユナクシス・ユーエスエイ・インコーポレーテッド Endpoint detection in a time-division multiplexed etch process.
JP4724795B2 (en) * 2003-02-14 2011-07-13 ユナクシス・ユーエスエイ・インコーポレーテッド End point detection method in time division multiplexed etch process
US8426315B2 (en) 2005-09-27 2013-04-23 Ricoh Company, Ltd. Method of manufacturing semiconductor device
KR101356853B1 (en) * 2012-11-12 2014-01-29 고려대학교 산학협력단 Apparatus for detecting an etching end point and method of detecting an etching end point
CN104299880A (en) * 2013-07-18 2015-01-21 株式会社日立高新技术 Plasma processing apparatus and operational method thereof
JP2015023104A (en) * 2013-07-18 2015-02-02 株式会社日立ハイテクノロジーズ Plasma processing apparatus and operating method for plasma processing apparatus
CN104299880B (en) * 2013-07-18 2017-11-10 株式会社日立高新技术 The operation method of plasma processing apparatus and plasma processing apparatus

Similar Documents

Publication Publication Date Title
KR100304288B1 (en) Method and apparatus for end point detection of plasma processing
JP4724795B2 (en) End point detection method in time division multiplexed etch process
US7848898B2 (en) Method for monitoring process drift using plasma characteristics
JP2007501532A (en) Envelope follower end point detection in time division multiplexing process
KR100333449B1 (en) Plasma processing method in semiconductor processing system
JP5377993B2 (en) Plasma processing method
JP2018157048A (en) Etching method and plasma processing apparatus
JP2002299322A (en) Plasma processing apparatus and plasma processing method
JP2000012527A (en) Method and apparatus for determining etching end point
US4263089A (en) Plasma development process controller
EP0013483A1 (en) Apparatus and Process for Plasma-etching
JP3873943B2 (en) Plasma monitoring method, plasma processing method, semiconductor device manufacturing method, and plasma processing apparatus
JP3117187B2 (en) Plasma cleaning method
JP4171380B2 (en) Etching apparatus and etching method
JP2018041751A (en) Plasma processing device and plasma processing method
JP3217581B2 (en) Etching end point detection method
US6537460B1 (en) Method for detecting an end point of etching in a plasma-enhanced etching process
JPH03181129A (en) Method of detecting termination of etching
JP3415074B2 (en) X-ray mask manufacturing method and apparatus
JP3117355B2 (en) End point detection method for plasma processing
JPH09139377A (en) Terminal detection of dry etching and its method
JPH08298257A (en) Dry etching method by emission spectrum
JP3885060B2 (en) Plasma etching processing method
JP3946467B2 (en) Dry etching method
JPS6342124A (en) Terminal detection