TW510008B - Monitoring substrate processing using reflected radiation - Google Patents

Monitoring substrate processing using reflected radiation Download PDF

Info

Publication number
TW510008B
TW510008B TW090126215A TW90126215A TW510008B TW 510008 B TW510008 B TW 510008B TW 090126215 A TW090126215 A TW 090126215A TW 90126215 A TW90126215 A TW 90126215A TW 510008 B TW510008 B TW 510008B
Authority
TW
Taiwan
Prior art keywords
radiation
substrate
signal
layer
page
Prior art date
Application number
TW090126215A
Other languages
Chinese (zh)
Inventor
Zhifeng Sui
Hongqing Shan
Nils Johansson
Hamid Noorbakhsh
Yu Guan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/695,577 external-priority patent/US6831742B1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW510008B publication Critical patent/TW510008B/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Abstract

A substrate processing apparatus has a chamber capable of processing a substrate, a radiation source to provide a radiation, a radiation polarizer adapted to polarize the radiation to one or more polarization angles that are selected in relation to an orientation of a feature being processed on the substrate, a radiation detector to detect radiation reflected from the substrate during processing and generate a signal, and a controller to process the signal.

Description

510008 五、發明說明() 發明領域_^ 本發明係有關於監视基材之處理 發明背景 在基材的處理方法中,特徵包含半導體、介電及導· 等材料,這些材料包切、多晶⑨、氧切、紹、銅及多 化鎢等’係利用化學氣相沉積(CVD卜物理氣相沉海 (PVD)、氧化、氮化、離子佈植以及㈣等製程使特徵^ 成於基材上。在化學氣相沉積製程中,使用反應氣體將相 料沉積於基材上。在物理氣相沉積製程中,利用濺鍍方式 使靶材沉積於基材上。利用氧化及氮化製程,將基材暴馬 在適當的氣體環境中,以於基材上形成氧化物及氮化物, 例如氧化矽或氮化矽。在離子佈植製程中,使離子植入道 材中。傳統的蝕刻製程係於基材上形成抗蝕刻特徵,例^ 抗蝕材質或硬式罩幕,並在抗蝕刻特徵(基材開口區域)深 <基材曝露部份,利用蝕刻製程以形成閘極、介層窗、卷 觸洞或是内連線的圖案。 傳統的監視基材製程之方法或是在基材處理反應^ 内進行製程處理的方法存在著一些問題。製程監视方法^ 用於終止或改變製程,例如在處理的特徵或材質上產生510008 V. Description of the invention () Field of the invention _ ^ The present invention relates to the monitoring of substrate processing. BACKGROUND OF THE INVENTION In the processing method of substrates, the characteristics include semiconductors, dielectrics and conductive materials. The crystal rhenium, oxygen cut, shao, copper and tungsten poly, etc., are characterized by chemical vapor deposition (CVD, physical vapor deposition (PVD), oxidation, nitridation, ion implantation, and thorium). On the substrate. In the chemical vapor deposition process, the reaction material is used to deposit the phase material on the substrate. In the physical vapor deposition process, the target is deposited on the substrate by sputtering. Utilization of oxidation and nitridation In the process, the substrate is exposed to a suitable gas environment to form oxides and nitrides on the substrate, such as silicon oxide or silicon nitride. In the ion implantation process, ions are implanted into the channel. Traditional The etching process is based on the formation of anti-etching features on the substrate. For example, a resist material or a hard mask, and the anti-etching features (the opening area of the substrate) are deep < , Interstitial windows, scroll holes, or inside Line pattern. There are some problems with the traditional method of monitoring the substrate manufacturing process or the process processing in the substrate processing reaction ^ Process monitoring methods ^ are used to terminate or change the process, such as processing characteristics or materials Produce

定的變化之後、在一製程步驟之後、或是在一製程終點S 後。例如在矽基材的介電材料上蝕刻溝渠時, 、 右運到預义 深度,則可終止蝕刻製程,其中介電材料為氧化矽傳、 的方法係利用預定的蝕刻速率及基材或被蚀 ^ 〜何料之;j 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 、---AWI --- (請先閱讀背面之注意事項再填寫本頁) 訂-· 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、發明説明( 始厚度,以計算蝕刻基材上所需厚度的時間。另一傳統的 方法係计算反射自基材的輕射之建設性干涉及破壞性干 涉的峰值,以決定基材的蝕刻深度。然而,若基材上材質 的初:ib厚度P返著基材的不同而變動時,或是製程參數改變 時,則上述傳統的方法會不精確。特別是當蝕刻的基材上 之抗蚀刻特徵之間具有小型開口區域時,則更無法正確地 監視蚀刻製程,因為來自於此區域的製程訊號相對地小於 來自於基材其他部份之製程訊號。而且很難決定基材上介 層窗或溝渠内所沉積材料的厚度,例如沉積介電材料或是 金屬材料於介層窗或溝渠内時,因為小型開口區域具有沉 積的材料。 因此在進行基材處理時,需要偵測微小的變量。而且 也需要利用量化的方式來計算此變量,例如蝕刻深度,或 是沉積在基材上的材料厚度。進一步地在蝕刻具有小型開 口區域之基材時,或將材料沉積於基材上的小型區域時, 必須正確地監視基材的處理製程。 發明目的及概述: 一種基材處理裝置,該基材處理裝置具有一反應室用 於處理基材’-輕射源發提供輻射,_ Μ偏振器用於 使輻射極化成一個或多個偏振角度,而 又肉侷振角度係依據基 材上處理中特徵方向來選取,一輻射侦 細耵彳貝,則咨用於偵測在處 理過程中來自基材之反射輻射,並且產 、 座生罘一矾號,以及 一控制器用於處理第一訊號。 第5頁 (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明説明() 裝: (請先閲讀背面之注意事項再填寫本頁) 一種在製程區域處理基材的方法,處理基材的方法包 含下列步驟⑷在製程區域提供一基材;(b)設定製程條: 參數,以利用一能量化氣體來處理基材;(c)提供—輻射, 此輻射被極化成具有一個或多個偏振角度,而偏振^度係 依據基材上處理中特徵之方向來選取;⑷價測來自基二之 反射輻射,並產生對應於反射輻射之一訊號;以及處理 該訊號。 一種基材處理裝置,基材處理裝置具有一反應室用於 處理基材,一輻射源用於提供輻射,一輻射偏振器用於使 籍射極化成多個偏振角度,一輻射偵測器用於偵測在處理 過程中來自基材之反射輻射,並且產生一訊號,以及一控 制器用於處理該訊號。 一種在製程區域處理基材的方法,處理基材的方法包 含下列步騾(a)在製程區域提供一基材;(b)設定製程參 數,以利用一能量化氣體來處理基材;(c)提供一輻射,輻 射被極化成具有多個偏振角度;(旬偵測來自基材之反射輻 射,並產生對應於反射輻射之一訊號;以及(e)處理該訊 號。 經濟部智慧財產局員工消費合作社印製 一種基材處理裝置,至少包含一反應室,用於處理基 材;一輻射源,用於提供輻射;一輻射偵測器,以於處理 過程中偵測來自基材之反射輻射,並且產生訊號;以及一 帶通濾波器,用於處理該訊號。 一種處理基材的方法,處理基材的方法包含下列步 驟·(a)在製程區域放置一基材;(b)設定能量化氣體之製 第6頁 本紙張尺度適用中關家標準(CNS)A视格(210X297公爱) ' 五、發明説明() 程參數,以處理基材;⑷在製程區域提供一輕射;⑷偵 測來自基材《反射輻射,並產生對應於反射輻射之一訊 號;以及(e)過濾處理該訊號。 β —種基材處理裝置,至少包含一製程反應室,該製程 反應室具有-基材支撐、一氣體入口、一氣體激能裝置、 排氣裝置以及一具有嵌壁視窗之牆壁,而視窗上方設有罩 幕;以及一製程監視系統,透過牆壁上凹陷的窗口來進行 之,以監視製程反應室内所進行之製程。 一種在製程區域蝕刻基材的方法,該蝕刻基材的方法 包含下列步驟:(a)在反應室内置放一基材;(1))在反應室 内提供一能量化氣體,以處理基材;(e)提供一罩幕視窗, 係位於反應室之牆壁嵌壁上;以及(d)透過牆壁上的嵌壁視 窗,以監視製程反應室内的製程。 一種在製程區域蝕刻基材以及監視此蝕刻製程的方 法,包含下列步驟:(a)蝕刻製程區域之基材;在反應室内 提供一能量化製程氣體;以及將製程氣體排出,其中製程 氣體用於產生輻射放射,(b)藉由偵測一個或多個輻射放射 之波長強度,以決定蝕刻製程的第一步驟是否完成,產生 所债測的波長強度之第一訊號,並計算第一訊號,以及(c) 藉由偵測一個或多個極化輻射之波長強度,其中極化輻射 反射自蝕刻中的基材,產生所偵測的波長強度之第二訊 號,並計算第二訊號。 一種基材蝕刻裝置’至少包含一製程反應室,該製程 反應室具有一用於承接基材之基材支撐,一用於導入製程 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210χ 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· -訂· 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 氣體至反應室内之氣體入口,一用於使製程氣體能量化之 氣體激此裝置’以形成可敍刻基材之能量化氣體並產生輻 射放射,以及一用於排出製程氣體之排氣裝置。一個或多 個可調整輻射偵測器,以偵測一個或多個輻射放射波長之 強度,並產生對應於偵測的波長強度之第一訊號,並且偵 測一個或多個極化輻射波長之強度,其中極化輻射反射自 蚀刻中的基材,並產生對應於偵測的波長強度之第二訊 號,一控制器用於計算第一訊號,以決定第一蝕刻步驟是 否芫成,並且計算第二訊號,以決定第二蝕刻步驟是否完 成。 經濟部智慧財產局員工消費合作社印製 一種在製程區域蝕刻基材以及監视此蝕刻製程的方 法,包含下列步騾(a)蝕刻製程區域之基材,其中基材具有 第一層及位於第一層下方之第二層;在反應室内提供一能 量化製程氣體;以及將製程氣體排出,其中製程氣體用於 產生輻射放射。(b)藉由偵測一個或多個輻射放射之波長強 度,以決定第一層的蝕刻步驟是否完成,產生所偵測的波 長強度之第一訊號,並計算第一訊號,以決定一個或多個 輻射放射波長之強度的變量,其中該輻射放射來自正在蝕 刻之第二層。以及(c)藉由偵測一個或多個極化輻射之波長 強度,其中極化輻射反射自蝕刻中的基材,以監視正在蝕 刻的第二層深度,其中對極化輻射進行偏振或極化,以形 成一個或多個之第一偏振角度及第二偏振角度,其中第j 偏振角度平行於基材上蝕刻特徵之方向,而第二偏振角度 垂直於基材上姓刻特徵之方向,並且產生所偵測的波長= 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公 510008 A7 B7 五、發明説明() 度之弟二訊號’並计鼻弟二訊號。 (請先閲讀背面之注意事項再填寫本頁) 一種基材蝕刻裝置’其中基材具有第一層及位於第一 層下方之第二層,姓刻裝置包含一製程反應室,該製程反 應直具有一用於承接基材之基材支撐,一用於導入製程氣 體至反應室内之氣體入口,一用於使製程氣體能量化之氣 體激能裝置,以形成可蝕刻基材之能量化氣體並產生輕射 放射,以及一用於排出製程氣體之排氣裝置。一輻射偏振 器’用於對輻射進行偏振或極化,以形成一個或多個之第 一偏振角度及第二偏振角度,其中第一偏振角度平行於基 材上蝕刻特徵之方向,而第二偏振角度垂直於基材上蝕刻 特徵之方向。一個或多個可調整輻射偵測器,以偵測一個 或多個輻射放射波長之強度,並產生對應於偵測的波長強 度之第一訊號,並且偵測一個或多個極化輻射波長之強 度,其中極化輻射反射自蝕刻中的基材,並產生對應於偵 測的波長強度之第二訊號。一控制器用於計算第一訊號, 以決定一個或多個輻射放射之強度的變量,其中輻射放射 來自正在蝕刻之第二層,藉以決定第二層是否完成,並且 冲鼻弟一訊號,以決定第二層的触刻深度。 經濟部智慧財產局員工消費合作社印製 圖式簡單說明: 為讓本發明之上述以及其他特1、態樣及優點更明顯 易懂,將配合所附圖式、敘述說明及後附的中請專利範 圍,以詳細說明本發明之實施例。而本發明所述之每個特 點並不只限定於特定的圖式,而是包括這些特點的適當组 第9貫 ^尺度^國家^^格(2‘297公董)〜-_ 510008 五、發明説明( 濟 部 智 慧 財 產 局 員 工 消 費 合,其中: 第1 a圖係為依據本發明基材上第一蝕刻特徵以及一裝置 芡不思圖,其中該裝置用於接收具有多個偏振角度 之基材反射輻射; 第lb圖係立為纟據本發明帛la圖之基材上第二蚀刻特徵的 示意圖,其中第二特徵的主方向不同於第一特徵的 主方向; 第2a-2b圖顯示當輕射係反射自氧化層上之颠刻特徵以 反射自抗蝕材質之表面時,所形成的建設性干涉〜 I壞性干涉纟示意圖/其時間分別是在蚀刻部份^ 徵的前後; 圖係為依據本發明基材反射㈣的干涉訊?虎中不同 率與相對振幅之圖表; 第4“b圖係為在氧化矽層上蝕刻〇 4um的溝渠而偵測 邵份的反射輻射之振幅軌跡,其中氧化矽層分別 有5%及20%的開口區域; 第5a-5b圖係為分別在氧化梦層上姑刻〇 4咖與_的 渠而偵測出部份的反射輻射振幅軌跡之圖表,其 氧化矽層具有3 0 %的開口區域; 第6圖係為將反射輻射訊號總和之強度品質表示為特徵 寸與曝露氧化矽層之開口區域的函數之圖表; 第7圖係為帶通滤波器的多次操作之頻率響應百分比相 於正規化頻率的圖表; 第8a-8b圖係為依據本發明之反應室與製程監視系統之 及 及 第 頻 訂 出 具 中 尺 剖 第10頁 本紙張尺度適用中國國家標準(CN;)A4規格⑽X297公董)After a certain change, after a process step, or after a process end point S. For example, when a trench is etched on a dielectric material on a silicon substrate, the etching process can be terminated by moving it to a predetermined depth. The method in which the dielectric material is silicon oxide is to use a predetermined etching rate and the substrate or substrate. Etching ^ ~ What to expect; j Page 4 This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 g t), --- AWI --- (Please read the precautions on the back before filling this page ) Order-· Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 A7 B7 V. Description of the invention (The initial thickness is used to calculate the time required to etch the substrate. Another traditional method is to calculate the light reflected from the substrate. The constructive interference of the shot involves the peak value of the destructive interference to determine the etching depth of the substrate. However, if the initial material: ib thickness P on the substrate changes depending on the substrate, or when the process parameters change, The traditional method described above would be inaccurate. Especially when there is a small opening area between the etching-resistant features on the etched substrate, the etching process cannot be monitored properly because the process signal from this area is relatively less than Process signals from other parts of the substrate. And it is difficult to determine the thickness of the material deposited in the dielectric window or trench on the substrate, such as when depositing a dielectric material or a metal material in the dielectric window or trench because of the small size The opening area has deposited material. Therefore, when processing the substrate, a small variable needs to be detected. It is also necessary to use a quantitative method to calculate this variable, such as the etching depth or the thickness of the material deposited on the substrate. Further When etching a substrate with a small opening area, or when depositing material on a small area on a substrate, the processing process of the substrate must be properly monitored. Aim and Summary of the Invention: A substrate processing device, the substrate processing The device has a reaction chamber for processing the substrate'-light source to provide radiation, and the _M polarizer is used to polarize the radiation to one or more polarization angles, and the local vibration angle is based on the characteristic direction of the substrate on the processing Let ’s choose a radiation detection shellfish, which is used to detect the reflected radiation from the substrate during processing, and to produce and set aside alum, And a controller for processing the first signal. Page 5 (Please read the precautions on the back before filling out this page) Equipment · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs Α7 Β7 V. Description of Invention () Equipment: (Please Read the notes on the back before filling this page) A method for processing substrates in the process area. The method for processing substrates includes the following steps: (1) providing a substrate in the process area; (b) setting the process bar: parameters to use a Energizing gas to process the substrate; (c) providing-radiation, the radiation is polarized to have one or more polarization angles, and the polarization degree is selected according to the direction of the characteristics of the substrate on the processing; Second, it reflects radiation and generates a signal corresponding to the reflected radiation; and processes the signal. A substrate processing device, the substrate processing device has a reaction chamber for processing the substrate, a radiation source for providing radiation, and a radiation A polarizer is used to polarize the radiation into multiple polarization angles. A radiation detector is used to detect the reflected radiation from the substrate during processing and generate a signal to A controller for processing the signal. A method for processing a substrate in a process region. The method for processing a substrate includes the following steps: (a) providing a substrate in the process region; (b) setting process parameters to process the substrate with an energized gas; (c) ) Provide a radiation that is polarized to have multiple polarization angles; (detect the reflected radiation from the substrate and generate a signal corresponding to the reflected radiation; and (e) process the signal. Employees, Bureau of Intellectual Property, Ministry of Economic Affairs The consumer cooperative prints a substrate processing device that includes at least a reaction chamber for processing the substrate; a radiation source for providing radiation; and a radiation detector for detecting reflected radiation from the substrate during processing A method of processing a substrate, the method of processing a substrate includes the following steps: (a) placing a substrate in a process area; (b) setting energy Gas system page 6 This paper scale is applicable to Zhongguanjia Standard (CNS) A Vision (210X297 public love) 'V. Description of the invention () Process parameters to process the substrate; 提供 Provide a light in the process area ⑷ detects the reflected radiation from the substrate, and generates a signal corresponding to the reflected radiation; and (e) filters and processes the signal. Β — a substrate processing device including at least a process reaction chamber, the process reaction chamber It has a substrate support, a gas inlet, a gas excitation device, an exhaust device, and a wall with a recessed window, and a cover is arranged above the window; and a process monitoring system is performed through a recessed window on the wall In order to monitor the processes performed in the reaction chamber of the process. A method of etching a substrate in a process region, the method of etching the substrate includes the following steps: (a) placing a substrate in the reaction chamber; (1)) in the reaction An energized gas is provided in the room to process the substrate; (e) a cover window is provided on the wall inlay of the reaction chamber; and (d) the inset window on the wall is used to monitor the process in the reaction chamber . A method for etching a substrate in a process region and monitoring the etching process includes the following steps: (a) etching the substrate in the process region; providing an energetic process gas in a reaction chamber; and discharging the process gas, wherein the process gas is used for Generate radiation radiation, (b) determine whether the first step of the etching process is completed by detecting the wavelength intensity of one or more radiation emissions, generate a first signal of the measured wavelength intensity, and calculate the first signal, And (c) by detecting the wavelength intensity of one or more polarized radiations, wherein the polarized radiation is reflected from the substrate being etched to generate a second signal of the detected wavelength intensity and calculate the second signal. A substrate etching device 'includes at least a process reaction chamber, the process reaction chamber has a substrate support for receiving a substrate, and a process for introducing a process. Page 7 This paper applies the Chinese National Standard (CNS) A4 specification ( 210χ 297 mm) (Please read the precautions on the back before filling out this page) Packing · -Order · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 A7 B7 V. Description of the invention () (Please read the precautions on the back first Fill in this page again) A gas inlet to the reaction chamber, a gas used to energize the process gas to excite the device to form an energetic gas with a engravable substrate and generate radiation, and a process gas to exhaust the process gas Exhaust device. One or more adjustable radiation detectors to detect the intensity of one or more radiation wavelengths, generate a first signal corresponding to the detected wavelength intensity, and detect one or more polarized radiation wavelengths Intensity, where polarized radiation is reflected from the substrate during etching and generates a second signal corresponding to the detected wavelength intensity. A controller is used to calculate the first signal to determine whether the first etching step is completed, and calculate the first Two signals to determine whether the second etching step is completed. The Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs prints a method for etching a substrate in a process region and monitoring the etching process, including the following steps: (a) the substrate in the etching process region, wherein the substrate has a first layer and is located at the first A second layer below one layer; providing an energetic process gas in the reaction chamber; and discharging the process gas, wherein the process gas is used to generate radiation. (B) By detecting the wavelength intensity of one or more radiation emissions, to determine whether the etching step of the first layer is completed, generating a first signal of the detected wavelength intensity, and calculating the first signal to determine one or Variation in the intensity of multiple radiation emission wavelengths, where the radiation emission comes from the second layer being etched. And (c) by detecting the wavelength intensity of one or more polarized radiations, wherein the polarized radiations are reflected from the substrate being etched to monitor the depth of the second layer being etched, where the polarized radiations are polarized or polarized To form one or more of a first polarization angle and a second polarization angle, wherein the jth polarization angle is parallel to the direction of the etched feature on the substrate, and the second polarization angle is perpendicular to the direction of the engraved feature on the substrate, And the detected wavelength = page 8 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 public 51008 A7 B7 V. Description of the invention () Degree's second signal 'and the second's second signal. (Please (Read the precautions on the back before filling in this page) A substrate etching device 'in which the substrate has a first layer and a second layer below the first layer, and the surname engraving device includes a process reaction chamber, and the process reaction has a A substrate support for receiving a substrate, a gas inlet for introducing a process gas into a reaction chamber, and a gas excitation device for energizing a process gas to form an energetic gas that can etch the substrate And produce light emission, and an exhaust device for exhausting the process gas. A radiation polarizer is used to polarize or polarize radiation to form one or more first and second polarization angles, The first polarization angle is parallel to the direction of the etched features on the substrate, and the second polarization angle is perpendicular to the direction of the etched features on the substrate. One or more adjustable radiation detectors to detect one or more radiation emissions The intensity of the wavelength and generate a first signal corresponding to the detected wavelength intensity, and detect the intensity of one or more polarized radiation wavelengths, where the polarized radiation is reflected from the substrate being etched and generates a detection corresponding to the detection A second signal of a wavelength intensity. A controller calculates the first signal to determine a variable of the intensity of one or more radiation emissions, wherein the radiation emission comes from the second layer being etched to determine whether the second layer is complete, and A signal from Chong Bidi to determine the depth of the second layer. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs The above and other special features and advantages are more obvious and easy to understand, and will be described in detail in accordance with the accompanying drawings, the narrative description and the appended patent claims, and each of the embodiments of the present invention. The characteristics are not limited to specific drawings, but include the appropriate group of the characteristics of the ninth standard ^ standard ^ country ^ ^ grid (2'297 public director) ~-510008 5. Description of the invention (employees of the Ministry of Economic Affairs and Intellectual Property) Consumption, in which: Fig. 1a is a first etching feature on a substrate according to the present invention and a device diagram, wherein the device is used to receive reflected radiation from a substrate with multiple polarization angles; It is a schematic view of a second etching feature on a substrate according to the present invention, wherein the main direction of the second feature is different from the main direction of the first feature; Figures 2a-2b show that when the light emission system reflects the self-oxidized layer The constructive interference formed when the above features are reflected from the surface of the anti-corrosive material ~ I bad interference. Schematic diagrams / times are before and after the etching part, respectively; the picture shows the substrate according to the present invention Interfering with reflected chirp? The graph of the different rates and relative amplitudes in Figure 4; Figure 4 "b shows the amplitude trajectory of the reflected radiation of the Shaofen by etching a trench of 04um in the silicon oxide layer, of which the silicon oxide layer has 5% and 20% respectively. Opening area; Figures 5a-5b are graphs of the amplitude traces of the reflected radiation detected by engraving channels 04 and _ on the oxidized dream layer. The silicon oxide layer has a 30% open area. Figure 6 is a graph showing the intensity quality of the sum of the reflected radiation signals as a function of the characteristic inch and the opening area of the exposed silicon oxide layer; Figure 7 is the frequency response percentage of multiple operations of the band-pass filter compared to Diagrams of normalized frequencies; Figures 8a-8b are the reaction chamber and process monitoring system according to the present invention and the frequency chart is made with a medium-sized section. Page 10 This paper applies the Chinese national standard (CN;) A4 specification⑽X297 Public director)

I 510008 A7I 510008 A7

五、發明説明() 面侧視圖; 弟 9圖係為用以操控ι# 技反應至及監視所執行的製程之方塊 <請先閲t謂背面之注意事項再填寫本頁) 圖; 第10a圖係為具有罩葚夕忠辟#办 I單幕芡飲壁視冒以及磁場產生器之反應 室剖面側視圖,其中磁暴盡 _ 夬T兹%產生詻用於減低在視窗上 製程殘留物之沉積; 第10b圖係為第9a圖之視窗罩幕的平面示意圖; 第11圖係為具有罩幕之嵌壁视窗以及電場產生器之另一 反底鱼的邛伤剖面側視圖,其中電場產生器用於減 低在视窗上製程殘留物之沉積; 第1 2圖係為輻射隨著處理時間而衰減之圖表,其中分別 疋相對於裸露視窗、具有覆蓋罩幕之嵌壁視窗、以 及具有覆蓋罩幕與鄰接磁鐵之嵌壁視窗; 第1 3圖係為輕射經過極化之後的反射輻射訊號軌跡,而 將所偵測到的極化輻射訊號作比例化,並利用帶通 濾波器對比例化的訊號進行兩個週期之處理; 經濟部智慧財產局員工消費合作社印製 第1 4a圖顯示輻射放射的波長強度之訊號軌跡,其中係於 蝕刻基材時,以能量化氣體產生輻射放射;以及 第1 4b圖顯示輻射經過極化後之反射輻射的訊號軌跡。 圖號對照說明: 20 基材 21 抗蝕材質 22,24 材質層 23 界面 25 特徵 26 珍晶圓 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 510008 A7 B7 五、發明説明() 經濟部智慧財產局員工消費合竹袒印搫 27 蝕刻設備 31 輻射 32 支撐 33 主方向 35 反應室 36 特徵 38 氣體入口 39 方向 40 氣體流量控制器 41 視窗 42 排氣裝置 44 節流閥 46 氣體激能裝置 47 導電線圈 51 牆壁 52 弟二電極 53 濾波器 54 第一製程電極 58 輻射源 59a 第一輻射偏振器 59b 第二輻射偏振器 62 電極電壓供應裝置 61 嵌壁 100 控制器 102 製程控制程式 104 電腦系統 106 中央處理單元 108 記憶體 110 硬碟 112 軟碟機 114 隨機存取記憶體 116 控制器 118 螢幕 120 光筆 126 製程監視程式 130 窗口 132 製程選擇程式 134 製程序列程式 136 反應室管理程式 138 基材定位程式 140 氣體流量控制程式 142 氣體壓力控制程式 144 氣體激能裝置控制程式 145 孔洞 146 加熱器控制程式 195 磁場源 200 磁鐵 1 1 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) .........‘- :#裝: (請先閲讀背面之注意事項再填寫本頁) 510008V. Description of the invention () Side view; Figure 9 is a block for manipulating the process performed by ι # technical reaction to and monitoring < Please read the precautions on the back of this page before filling in this page); 10a is a cross-sectional side view of a reaction chamber with a hood 葚 中 忠 忠 # 办 I single-walled drinking wall and a magnetic field generator, where magnetic storm exhaustion _ 兹 %% production 兹 is used to reduce process residues on the window Fig. 10b is a schematic plan view of the window cover of Fig. 9a; Fig. 11 is a side view of the stinging section of the inlaid window with the cover and another anti-bottom fish of the electric field generator, in which the electric field The generator is used to reduce the deposition of process residues on the window; Figure 12 is a graph of radiation attenuation with processing time, in which, respectively, relative to the bare window, a recessed window with a covering window, and a covering window The curtain and the inset window adjacent to the magnet; Figure 13 shows the trajectory of the reflected radiation signal after the light is polarized, and the detected polarized radiation signal is scaled and compared with a band-pass filter. Signal Two cycles of processing; Figure 14a printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs showing the signal trace of the wavelength intensity of radiation radiation, which is generated by energizing gas when etching substrates; and Section 1b The figure shows the signal trace of the reflected radiation after it has been polarized. Comparative description of drawing numbers: 20 substrate 21 resist material 22, 24 material layer 23 interface 25 feature 26 precious wafers page 11 This paper size applies to China National Standard (CNS) A4 specification (210X297 mm) 510008 A7 B7 V. Description of the invention () Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumption, Seals, Seals 27 Etching Equipment 31 Radiation 32 Support 33 Main Direction 35 Reaction Chamber 36 Features 38 Gas Inlet 39 Direction 40 Gas Flow Controller 41 Window 42 Exhaust Device 44 Throttle Valve 46 Gas excitation device 47 Conductive coil 51 Wall 52 Second electrode 53 Filter 54 First process electrode 58 Radiation source 59a First radiation polarizer 59b Second radiation polarizer 62 Electrode voltage supply device 61 Embedded wall 100 Controller 102 Process control program 104 Computer system 106 Central processing unit 108 Memory 110 Hard disk 112 Floppy drive 114 Random access memory 116 Controller 118 Screen 120 Light pen 126 Process monitoring program 130 Window 132 Process selection program 134 Process program 136 Reaction room Management program 138 Substrate positioning program 140 Gas flow control program 142 Gas Pressure control program 144 Gas excitation device control program 145 Holes 146 Heater control program 195 Magnetic field source 200 Magnet 1 1 page 12 This paper size applies Chinese National Standard (CNS) A4 specification (210X 297 mm) ... ....'-: # 装 : (Please read the precautions on the back before filling this page) 510008

五、發明説明() 22〇 電場源 245 電壓源 3 02 包含一主軟體程式 225 300 電極 工廠自動化之主電腦 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 發明詳細說明: 本發明係用於監視基材20之處 9n^ ~里裹私,例如偵測基 材20上處理中的特徵25之製程步驟是否完成。 圖及第lb圖所示,基材2〇包含所需圖案之抗姑材質^ 蝕劑),例如光阻層或是硬式罩幕層。抗蝕材質21覆蓄= 其他的材質層22,24之上,且形成於石夕晶圓%、化^丰 導體或是介電材料上,基材20的材質層22,24可由:種材 料或多種材料組成。在材質層22,24的處理製程中,例如 蝕刻此材質層時,當接近或到達介於第一材質U與第一 材質24之間的界面23時,或是當第一材質22及第二材 質兩者或其中之一已完成處理時’則可以終止處:的 製程。當蝕刻一特徵25時,例如基材2〇上的介層窗或是 溝渠,若到達第一材質22的預定深度,或是蝕刻至下方 的第二材質24之一小部份時,則可終止或減緩蝕刻製程。 也可以在第一蝕刻步驟蝕刻穿透第一層22,而在 一蚀刻 步驟到達第二層24之預定深度時,終止或減緩蝕刻製程。 雖然本發明以蝕刻製程為例,但是本發明亦適用沉積製程 的方法,以於基材20上形成材質層,或是適用其他的製 程方法。 在基材20之特定材質層22上形成的特徵可具有 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) {請先閲讀背面之注意事項再填寫本頁) 裝· 訂· 510008 五、發明説明( ' 例如主方向,係沿著主要的方位。例如特徵2 5 可口著主方向,使得電氣訊號可以迅速地通過。在另〜 材質層35,位於蝕刻中之材質層22的上方或下方,如第 lb圖所示,特徵36可沿著另一主方向或稱為第二主方向 39’但不同於第一材質層22上特徵25之第一主方向”。 例:δ在第一材貝層35上特徵36的方向^幾乎垂直於方 向33亦即第-材質層22的特徵25主要是沿著〇度方 向而底4的的第二材質層3 5之特徵3 6幾乎沿著度 方向。例如在鄰近的上層及下層之電氣内連線係互相垂 直以減少一些問題的產生,例如在製程操作期間產生熱 ί ”占或過大的電感.電容(Lc)干擾’特別是當訊號通過的頰 率或速度增加時。 ' 訂 經濟部智慧財產局員工消費合作社印製 一在本發明之一實施態樣中,係藉由監視反射自基材Μ 的輻射振中田’以監測基材的處理製程。並且藉由偵測具有 一個或多個偏振角度之基材反射輕射31,以增強來自特徵 25的反射輕射之振幅調變的㈣強度,係指才目對於來自抗 蝕材質21的反射輻射之振幅調變的訊號強度而言。偏振 角度係為垂直於輻射行進方向的平面之輻射Η振盪模 式。例如第la圖顯示具有多個偏振角度之輻射Η以蝕刻 中的特徵25及基材20上的抗蝕材質21作反射。輻射η 被極化成具有相對於基材2〇上處理中特徵乃的方向η 4 一個或多個偏振角度。例如輻射3丨係沿著偏振角度進 行極化,該偏振角度係平行於或垂直於特徵乃的主方向 33。偏振的角度例如可包括平行於主方向33之第—偏振 第14頁 本紙張尺度朝巾關家標準(CNS)A4規格(21GX297$ A7 1 II (sum) 五、發明說明( 角度P« (〇0),以及垂直於主方向 (90〇)。 3 <罘一偏振角度Ρβ —參考第la圖’具有第一偏振角度之特徵反射輕射分 量I"(或是P分量)的強度振幅大於主方向之其他偏振角度 的特徵反射轉射分量,其中第—偏振角度平行於特徵 的主方向33,或是沿著長度方向。例如,具有偏振角度之 輕射分量Μ或是S分量)的強度振幅小於其他偏振角度的 反射輻射,其中偏振角度平行於特徵25的主方向33之寬 度W。所量測的“及η分量可用於強化特徵反射分量, 依據下列方程式: (feature)卞 I 丄(sum) = I 丄(feature)+ I 丄(resist) 上述方程式之差值或總和允許特徵反射分量與抗蝕 材質反射分量分別計算,如下所述: △ I I丄(sum)_ iMsum)一 I 丄(feature)- III (feature) 因為1丨丨(resist;)分量與I丄(resist)分量相同,所以可以同 時消去,而留下特徵反射分量。因此以多個偏振角度來監 視基材反射輻射可更正確地決定特徵反射分量的強度。 這種現象的說明可以參考第2a及2b圖,其中垂直的 建設性/破壞性相位干涉可以藉由反射輻射振幅與入射輻 射振幅的比值來定義之,輻射例如可為利用方程式, rsum^n+r^e·1 δ δ 4 表示之光線,其中 riSl-nO/U+nihrpOi-nJ/Oi + r^),以及占 ι = 4η mdi/λ , 其中IU及n2分別為在氧化層及基材上的特徵之反射係 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------V--裝--- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、發明說明() 數’ d 1為氧化層的厚度,以及λ為光線的波長。橫向干涉 效應係以方程式 Ir = I〇|fprrpr + eA 〇ffeaturerfeature|2 表示之,其 中: fpr為光阻覆蓋區域的百分比。 ffeature為特徵開口區域的百分比 nPr = (rlp + r2*e-i5 1)/(l+rlp*r2*e-i5 l) ^ 其中 riP==(np-ni)/(np + ni) = ,以及 δ 1 4π njdfeature/λ rpr = (rp + ripr*e-i5 2)/(l+rp*ripr*e-i5 2), 其中 rp = (l-np)/(l+np),以及 δ 2 = 4π ηρ(1ρ/λ ,以及 rfeature = (ri+r2*e-15 3)/(l+ri*r2*e-15 3), 其中 δ 3 = 4π nidi/λ ,以及 δ 〇 = 4π <1〇/λ ο 已知特徵的反射輻射強度Isum = I〇|rsum|2。由垂直及橫 向干涉所組合成的複數頻率分量為wpr(光阻分量),Wqx(氧 化矽分量),wp。(光阻及氧化矽之間的差值),WQx_Wpr, wox + wpr,wpo-wpr , wpo + wpr , wpo-wpr , Wp〇 + Wpr + w〇x , W〇x-Wpr-Wp。以及 W〇x + Wpr-Wp。。然而,將頻率分量改為振 幅的函數會有問題產生’因為垂直干涉效應與橫向干涉效 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------·---裝--- (請先閱讀背面之注意事項再填寫本頁) ήπ· · 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、發明說明( 應的搞合作用會扭曲干涉輪廓的邊緣。例如第3圖顯示不 同頻率分量wpr,wox及Wp。的机對振幅及頻率。一般而言, 基材20上所蝕刻的特徵25深度係與入射輻射方程式的波 長有關,而特徵蚀刻深度=波長/(2*I〇R),其中i〇R為入 射輻射的反射係數。當基材20上處理中特徵25的蝕刻深 度增加時,則來自蝕刻特徵25的反射輻射會產生破壞性/ 建設性干涉,以提供一具有第一頻率之可偵測的振盪訊 號’其中該第一頻率與蝕刻率及入射輻射的波長有關。此 時,反射自基材20其他表面的輻射亦會產生破壞性/建設 性干涉,以提供一具有不同的第二頻率之可偵測的振盪訊 號’其中基材係以不同的蚀刻率進行姓刻。 在本發明之一實施例中,當進行第一蝕刻步驟蝕刻第 一層22完成以後,使得第二層24的蝕刻深度可以藉由監 視第二蝕刻步驟之極化反射輻射來正確地決定。決定第一 層22的蝕刻是否完成之一方法係利用偵測能量化氣體的 輻射放射,其中能量化氣體係用於蝕刻基材2〇。由能量化 氣體所產生輻射放射的波長強度與當時的能量化氣體的 種類有關,例如製程氣體種類以及由此製程氣體與基材2〇 進行反應所產生之其他氣體種類。因為輻射放射之特定波 長的強度與當時的能量化氣體有關,這些強度都有可能產 生變化,例如製程氣體與具有不同成份之基材進行反應 時。因此,預先選定的波長強度可藉由偵測來決定之,其 中波長強度與存在於或不存在之特定的能量化氣體種類 有關,例如當第一 | 22業已蝕刻完成,並且至少曝露出 第Γ7頁 ί請先閱讀背面之注意事項再填寫本頁) 裝 . 經濟部智慧財產局員工消費合作社印製 510008 五、發明說明( 經濟部智慧財產局員工消費合作社印製 第二層24之一部份。 在本發明之一實施例中,係利用選定 長強度的增加量來決定第一蝕 、》、之j “ *蝕刻步驟是否完成。例如,逾當時的能量化氣體有關之選定 … 用於表示第-層業已蚀刻…膜 〜加… ㈢杲蝕刻疋成而曝露出第二層,其中能量 化氣體係來自於製程氣體與第二層的反應。例如,、當= 穿透第-層22而到達具有碳氫之第二層24 _,且田以ν 之能量化氣體來說’利用製程氣體與第二層Μ進行反應 所產生的C-N種類之輕射波長^ ~ 反长為3865埃。具有此波長之 輻射強度的增加量顯示正在形成含有C_N種類之氣體,因 此可表不第一層22業已蝕刻完成而曝露出第二層μ。所 以第-蚀刻步驟的完成可以藉由監視放射韓射之選定的 波長強度來決定’並且偵測這些強度的增量(或是減量)。 當第-蝕刻步驟完成之後,則進行第二蝕刻步驟以蝕 刻第二層24。第二層24的蝕刻可以利用在第一蝕刻步驟 所使用的製程參數,或是改變第一蝕刻步驟所使用的一個 或多個製程參數。例如,蝕刻第一製程所使用的製程氣體 可以用來蝕刻第二層,或是使用不同的製程氣體。可藉由 監視反射極化輻射來確定第二蝕刻步驟是否完成,以決定 基材20上的蝕刻特徵25之深度。可以藉由計算訊號的最 大值與最小值來決定基材20上蝕刻特徵25的深度,其中 此訊號係來自於反射極化輻射之破壞性/建設性干涉。並藉 由估計此訊號以及決定何時將基材2 〇上的特徵2 5蚀刻至 預定的深度,以確定蝕刻製程的終點以及用於蝕刻第二層 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^---裝--- (請先閱讀背面之注意事項再填寫本頁) 一H°J· 510008 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明() 24之第二蝕刻步騾是否完成。 然而,若蚀刻中的基材2 0之第一層2 2具有第一反射 係數’以及第二層24具有第二反射係數,則利用偵測反 射極化輻射來決定基材2 0上姓刻特徵2 5的深度會有一此 問題。這是因為蚀刻第一層22所取得的調變訊號振幅係 為極化輻射的建設性干涉及破壞性干涉之複數函數,其中 該極化輻射係反射自第一層22以及第二層24。因而,當 所需的特徵25深度已經產生,而無法確認第一層22是否 蚀刻穿透而曝露出第二層24時,則干涉訊號的最小值與 最大值無法確切地決定。因此,在第一蝕刻步驟期間,利 用偵測能量化氣體所產生的輻射放射來決定第一蝕刻步 驟是否完成。當第一蝕刻步驟完成之後,藉由偵測反射極 化輻射來監視第二蝕刻步驟,以決定第二蝕刻步驟是否完 成。藉由決定第一蝕刻步驟的完成,基材2〇上蝕刻特徵 25的深度以及蝕刻製程的終點可以在第二蝕刻製程步驟 中正確決定。 當基材20在抗蝕刻特徵21之間具有小型的開口區 域,特徵分量之凋變訊號的偵測特別困難,因為來自抗蝕 刻特徵21的較大區域之抗分量調變訊號控制全部訊號的 調變。第4a圖顯示在蝕刻特徵乃時擷取的反射輻射訊號 之軌跡,此特徵包含一氧化矽介電層上之開口大小為 0.4職的溝渠,此氧切介電層位於具有至少㉟2〇%開口 區芡基材20上。訊號總和的軌跡至少包含第一製程步驟, ’、中蝕刻# 2 5與移㉟中之〜部份抗蝕材$ 2 i可提供互 第19貫 (請先閱讀背面之注意事項再填寫本頁) 裝 訂: 51000» A7 B? 五、發明說明( 相干涉之分量,並且此兩 者均提供分量至所偵測的振盪調 變振幅,此振幅的频率;4 ^ /、 及輪廓會有不預期的變化。然而, _虫刻步驟中,槌供了相對於只蝕刻抗蝕材料2 1 (因為 特徵25已經蚀刻完成)之振幅軌跡,此振幅軌跡具有重複 f生的循%波形’並且包含大部分的抗蝕分量。蝕刻製程的 終點表示為“蝕刻終點 ’係位於兩個軌跡之間,且累計 經濟部智慧財產局員工消費合作社印製 的製程時間約為140秒。如第4b圖所示,具有5%之較小 基材20開放區域之類似軌跡。所偵測的反射輻射提供一 =有重複循環波形之訊號軌跡,此波形代表大部分的抗蝕 分K訊號強度,此分量係反射自具有的基材2〇區 域又柷蝕材料表面。來自蝕刻中基材2〇上特徵25之相對 車乂】開口區域的反射輻射具有更小的訊號強度,此訊號會 在較大的抗蝕分量訊號中消失。 訊號總和的強度亦可依據基材2 〇上蝕刻特徵2 5的尺 、來決足 弟5a及5b圖分別顯tjt在硬基材20之多晶梦 上的氧化矽分別蚀刻形成〇.4um及1 um的特徵之後所取得 的振幅軌跡,兩個基材具有相同的3 0%開口區域。此外, 第—製程步騾,相對於蝕刻覆蓋的抗蝕材質21以及氧化 石夕提供了具有複雜及可變輪廓之振幅軌跡總和。而第二製 程步驟,相對於蝕刻殘留的蝕刻劑,提供了具有重複循環 波形之振幅軌跡總和。 第6圖顯示在基材20上處理中或是蚀刻中的特徵25 尺寸之訊號總和的品質,以及基材20上的氧化矽曝露區 域兩者之間的關係。有雨種控制方式,一視訊號總和的強 第20頁 本紙張尺度 (CNS)A4 雜公 f) • —II--f I --- (請先閱讀背面之注意事項再填寫本頁) . 聊〇8V. Description of the invention (22) Electric field source 245 Voltage source 3 02 Contains a main software program 225 300 Electrode factory automation The main computer The Ministry of Economic Affairs Intellectual Property Bureau Employee Consumption Cooperative Printed Detailed description of the invention: This invention is used to monitor substrates 20 places 9n ^ ~ private wrap, for example, to detect whether the process step of the feature 25 in process on the substrate 20 is completed. As shown in FIG. 1 and FIG. 1b, the substrate 20 includes an anti-corrosive material (etching agent) (such as a photoresist layer or a hard mask layer) in a desired pattern. Anti-corrosive material 21 overlay = other material layers 22, 24, and formed on Shi Xiwa%, chemical conductor or dielectric material, the material layers 22, 24 of the substrate 20 can be: Or multiple materials. In the process of processing the material layers 22 and 24, for example, when etching this material layer, when approaching or reaching the interface 23 between the first material U and the first material 24, or when the first material 22 and the second material When both or one of the materials has been processed, the process can be terminated at: When a feature 25 is etched, such as a via window or trench on the substrate 20, if it reaches a predetermined depth of the first material 22 or is etched to a small portion of the second material 24 below, it may be Stop or slow down the etching process. It is also possible to etch through the first layer 22 in the first etching step, and stop or slow down the etching process when an etching step reaches a predetermined depth of the second layer 24. Although the present invention takes an etching process as an example, the present invention is also applicable to a method of a deposition process to form a material layer on the substrate 20, or other process methods are applicable. The features formed on the specific material layer 22 of the substrate 20 may have page 13. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) {Please read the precautions on the back before filling this page). Order · 510008 V. Description of the invention ('For example, the main direction is along the main direction. For example, feature 2 5 is delicious in the main direction, so that electrical signals can pass quickly. In the other ~ material layer 35, the material layer in the etching Above or below 22, as shown in Figure lb, feature 36 may be along another principal direction or referred to as the second principal direction 39 'but different from the first principal direction of feature 25 on first material layer 22. "Example : δ The direction of the feature 36 on the first material layer 35 is almost perpendicular to the direction 33, that is, the feature 25 of the-material layer 22 is mainly the feature of the second material layer 3 5 that is bottom 4 along the 0 degree direction 3 6 Almost along the direction of the direction. For example, the electrical interconnections in the adjacent upper and lower layers are perpendicular to each other to reduce the occurrence of some problems, such as generating heat during the process operation or excessive inductance. Capacitance (Lc) interference 'Especially when the signal passes through the cheek or When the degree of increase is increased, the order is printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. In one embodiment of the present invention, the processing of the substrate is monitored by monitoring the radiation reflected from the substrate M. Nakada. The intensity of the chirp of the amplitude modulation of the reflection light emission from the feature 25 is enhanced by detecting the reflection light emission 31 of the substrate having one or more polarization angles. In terms of the amplitude-modulated signal strength, the polarization angle is the radiation Η oscillation mode of a plane perpendicular to the direction of travel of the radiation. For example, Fig. 1a shows radiation with multiple polarization angles on the etched features 25 and on the substrate 20. The anti-corrosive material 21 is used for reflection. The radiation η is polarized to have one or more polarization angles with respect to the direction η 4 of the characteristic on the substrate 20. For example, the radiation 3 is polarized along the polarization angle. The polarization angle is parallel to or perpendicular to the principal direction of the feature 33. The polarization angle may include, for example, the third-parallel polarization parallel to the principal direction 33. The paper dimensions are toward the CNS A4 specification (21G) X297 $ A7 1 II (sum) V. Description of the invention (Angle P «(〇0), and perpendicular to the main direction (90)). 3 <-a polarization angle P β-with reference to Figure la has a first polarization angle The characteristic reflection light component I " (or P component) whose intensity amplitude is greater than that of other polarization angles in the main direction, where the first polarization angle is parallel to the characteristic main direction 33, or along the length direction For example, the light emission component M or S component with the polarization angle has a smaller intensity amplitude than the reflected radiation of other polarization angles, where the polarization angle is parallel to the width W of the principal direction 33 of the feature 25. The measured "and η components can be used to enhance the characteristic reflection component, according to the following equation: (feature) 卞 I 丄 (sum) = I 丄 (feature) + I 丄 (resist) The difference or sum of the above equations allows the characteristic reflection The component and the reflection component of the resist material are calculated separately, as follows: △ II 丄 (sum) _ iMsum)-I 丄 (feature)-III (feature) because 1 丨 (resist;) component and I 丄 (resist) component The same, so it can be eliminated at the same time, leaving the characteristic reflection component. Therefore, monitoring the reflected radiation of the substrate with multiple polarization angles can more accurately determine the intensity of the characteristic reflection component. The description of this phenomenon can refer to Figures 2a and 2b. The vertical constructive / destructive phase interference can be defined by the ratio of the amplitude of the reflected radiation to the amplitude of the incident radiation. For example, the radiation can be the light represented by the equation, rsum ^ n + r ^ e · 1 δ δ 4, where riSl-nO / U + nihrpOi-nJ / Oi + r ^), and account for ι = 4η mdi / λ, where IU and n2 are the reflection characteristics of the features on the oxide layer and the substrate, respectively. China National Standard (CNS) A4 Specification (21 0 X 297 mm) -------- V--install --- (Please read the precautions on the back before filling out this page) Order Printed by the Intellectual Property Bureau Employee Consumer Cooperative of the Ministry of Economy 51008 A7 B7 V. Description of the invention () The number 'd 1 is the thickness of the oxide layer, and λ is the wavelength of light. The lateral interference effect is expressed by the equation Ir = I〇 | fprrpr + eA 〇ffeaturerfeature | 2, where: fpr is the photoresist coverage area Ffeature is the percentage of the feature opening area nPr = (rlp + r2 * e-i5 1) / (l + rlp * r2 * e-i5 l) ^ where riP == (np-ni) / (np + ni ) =, And δ 1 4π njdfeature / λ rpr = (rp + ripr * e-i5 2) / (l + rp * ripr * e-i5 2), where rp = (l-np) / (l + np) , And δ 2 = 4π ηρ (1ρ / λ, and rfeature = (ri + r2 * e-15 3) / (l + ri * r2 * e-15 3), where δ 3 = 4π nidi / λ, and δ 〇 = 4π < 1〇 / λ ο Reflected radiation intensity with known characteristics Isum = I〇 | rsum | 2. The complex frequency component combined by vertical and lateral interference is wpr (photoresistance component), Wqx (silicon oxide Component), wp. (Difference between photoresist and silicon oxide), WQx_Wpr, wox + wpr, wpo-wpr, wpo + wpr, wpo-wpr, Wp0 + Wpr + w0x, W0x-Wpr-Wp. And W〇x + Wpr-Wp. . However, changing the frequency component to a function of amplitude can cause problems. 'Because of the vertical interference effect and lateral interference effect, page 16 This paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) ----- ---- · --- Equipped --- (Please read the precautions on the back before filling out this page) Price · · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 A7 B7 V. Description of the invention Use edges that will distort the interference contour. For example, Figure 3 shows the amplitude and frequency of the machine pair of different frequency components wpr, wox, and Wp. Generally speaking, the depth of the feature 25 etched on the substrate 20 is the wavelength of the incident radiation equation. The characteristic etching depth = wavelength / (2 * IOR), where i〇R is the reflection coefficient of the incident radiation. When the etching depth of the feature 25 during processing on the substrate 20 increases, the reflection from the etching feature 25 Radiation can cause destructive / constructive interference to provide a detectable oscillating signal having a first frequency, where the first frequency is related to the etch rate and the wavelength of the incident radiation. At this time, it is reflected from the other surface of the substrate 20 Radiation will Destructive / constructive interference to provide a detectable oscillating signal with a different second frequency, wherein the substrate is engraved with different etch rates. In one embodiment of the present invention, when the first After an etching step is completed to etch the first layer 22, the etching depth of the second layer 24 can be correctly determined by monitoring the polarized reflected radiation of the second etching step. One method to determine whether the etching of the first layer 22 is completed The radiation of the energetic gas is detected, wherein the energetic gas system is used to etch the substrate 20. The wavelength intensity of the radiation emitted by the energetic gas is related to the type of the energetic gas at the time, such as the type of process gas and the This process gas reacts with other gases produced by the substrate 20. Because the intensity of the specific wavelength of the radiation is related to the energetic gas at the time, these intensities may change, such as the process gas and the base with different components. Materials react. Therefore, the pre-selected wavelength intensity can be determined by detection, where the wavelength intensity and the There is no specific type of energetic gas, for example, when the first | 22 has been etched and at least exposed on page Γ7, please read the precautions on the back before filling this page). Printed by Cooperatives 510008 V. Description of the Invention (Employee Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs prints a part of the second layer 24. In one embodiment of the present invention, the increase in the selected long strength is used to determine the first etch ,》 、 之 j "* Whether the etching step is completed. For example, the selection related to the energetic gas at the time ... is used to indicate that the first layer has been etched ... film ~ added ... ㈢ 杲 etched to expose the second layer, where The energy gasification system comes from the reaction of the process gas with the second layer. For example, when = penetrates the first layer 22 and reaches the second layer 24 with hydrocarbons, and Tian uses the energy gas of ν to 'use the process gas to react with the second layer M of the type of CN Light emission wavelength ^ ~ inverse length is 3865 angstroms. The increase in the radiation intensity at this wavelength indicates that a gas containing C_N species is being formed, so that it can be shown that the first layer 22 has been etched and the second layer µ is exposed. Therefore, the completion of the first etching step can be determined by monitoring the selected wavelength intensities of the radiation and detecting the increase (or decrease) of these intensities. When the first etching step is completed, a second etching step is performed to etch the second layer 24. The second layer 24 can be etched using the process parameters used in the first etch step, or by changing one or more process parameters used in the first etch step. For example, the process gas used in the first process can be used to etch the second layer, or a different process gas can be used. The completion of the second etching step can be determined by monitoring the reflected polarized radiation to determine the depth of the etched features 25 on the substrate 20. The depth of the etched feature 25 on the substrate 20 can be determined by calculating the maximum and minimum values of the signal, where this signal is derived from the destructive / constructive interference of reflected polarized radiation. And by estimating this signal and deciding when to etch the features 25 on the substrate 20 to a predetermined depth, the end point of the etching process and the second layer used for etching are determined. Page 18 This paper applies Chinese national standards (CNS ) A4 size (210 X 297 mm) ^ --- install --- (Please read the precautions on the back before filling out this page) One H ° J · 510008 A7 B7 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 2. Description of the invention (2) Whether the second etching step of 24 is completed. However, if the first layer 22 of the substrate 20 has a first reflection coefficient and the second layer 24 has a second reflection coefficient during the etching, the reflected polarized radiation is used to determine the last name on the substrate 20. There is a problem with the depth of feature 25. This is because the amplitude of the modulation signal obtained by etching the first layer 22 is a complex function of constructive interference involving destructive interference of polarized radiation, which is reflected from the first layer 22 and the second layer 24. Therefore, when the required depth of the feature 25 has been generated and it is impossible to confirm whether the first layer 22 is etched and penetrated and the second layer 24 is exposed, the minimum and maximum values of the interference signal cannot be determined accurately. Therefore, during the first etching step, the radiation emitted by the energized gas is used to determine whether the first etching step is completed. After the first etching step is completed, the second etching step is monitored by detecting reflected polarized radiation to determine whether the second etching step is completed. By determining the completion of the first etching step, the depth of the etching feature 25 on the substrate 20 and the end point of the etching process can be correctly determined in the second etching process step. When the substrate 20 has a small opening area between the anti-etching features 21, the detection of the decay signal of the characteristic component is particularly difficult, because the anti-modulation signal from the larger area of the anti-etching feature 21 controls the modulation of all signals. change. Figure 4a shows the trajectory of the reflected radiation signal captured at the time of the etching feature. This feature includes a trench with an opening size of 0.4 on the silicon oxide dielectric layer. This oxygen-cut dielectric layer is located at least ㉟20% of the opening. Zone 芡 substrate 20. The trajectory of the sum of the signals includes at least the first process step. '、 中 etching # 2 5 and some of the resist materials $ 2 i can provide 19th mutual (please read the precautions on the back before filling this page) ) Binding: 51000 »A7 B? V. Description of the invention (Interfering components, and both of which provide components to the detected oscillation modulation amplitude, the frequency of this amplitude; 4 ^ /, and the contour will be unexpected However, in the _worm step, the mallet provides an amplitude trajectory relative to only etching the resist material 2 1 (because the feature 25 has been etched). This amplitude trajectory has a repeating% cycle waveform and contains large Part of the corrosion resistance. The end of the etching process is expressed as "the end of the etching" is located between the two trajectories, and the cumulative process time printed by the employee's consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs is about 140 seconds. , With a similar trajectory of the open area of the smaller substrate 20 of 5%. The detected reflected radiation provides a signal trace with a repeating cyclic waveform. This waveform represents most of the K-signal intensity of the resist. This component is reflected. from The area of the substrate 20 has etched the surface of the material. The relative radiation from the feature 25 on the substrate 20 during etching] The reflected radiation from the opening area has a smaller signal intensity, and this signal will have a larger corrosion component. The strength of the sum of the signals can also be determined according to the size of the etching feature 25 on the substrate 20, which depends on the figures 5a and 5b. The tjt silicon oxide on the polycrystalline dream of the hard substrate 20 is etched and formed separately. The amplitude trajectory obtained after the characteristics of 0.4um and 1um, the two substrates have the same 30% opening area. In addition, the first process step is provided with respect to the etching-resistant resist material 21 and the oxide stone. The sum of the amplitude trajectories with complex and variable contours is provided. The second process step provides the sum of the amplitude trajectories with repetitive cyclic waveforms relative to the remaining etchants in the etch. Figure 6 shows during processing on the substrate 20 or Features in Etching 25 The quality of the sum of the signal size and the relationship between the exposed areas of silicon oxide on the substrate 20. There are rain control methods, a strong video signal sum. Page 20 Paper Size (CNS) A4 Miscellaneous f) • —II--f I --- (Please read the notes on the back before filling out this page). 〇8

、發明説明( 度可以合呼要求,另一是訊號總和的強度不合乎要求,蝕 刻特徵視窗41表示蝕刻特徵尺寸的主區域,以及表示其 材開口區域中的許多溝渠特徵正在進行處理。因此,當其 材上的特徵尺寸或開口區域變小時,傳統的製程監視方法 只能分析小視窗區域的反射輻射。 本發明之另一實施態樣中,一濾波器53可以用來選 擇性地過濾輻射偵測器54產生的訊號,此輻射偵測器與 反射輻射的偵測強度有關。在一實施例中,此濾波器Μ 為 T通/慮波器,用於增加選定的頻帶之相對強度,而此 相對強度與其他反射輻射之頻率分量的強度有關。例如可 以凋整帶通濾波器53過濾來自輻射偵測器54的輸入訊 號,以使在基材20上蝕刻特徵25所反射的輻射頻率之頻 寬可以通過,而降低非由基材2〇上蝕刻特徵所25引起的 輻射之訊號強度。帶通濾波器53的種類係依據製程需求 以及頻帶的限制。在-實施例中,帶通滤波器53為一電 氣訊號處理器,係藉由過濾該訊號並只使頻帶通過。此電 氣訊號處理器可為數位訊號處理器,用於將接收自幅射偵 測器之輻射訊號進行數位化,並且過濾此數位化訊號。 在一實施例中’選定的頻率接近於頻帶之中央頻率。 例如,若帶通濾波器的頻帶範圍由ΑΗζ至ΒΗζ,則中心頻 率為(Α + Β)/2 Hz。頻帶範圍以破壞性/建設性的干涉訊號之 一選疋頻率為中心,其中此干涉訊號係來自蝕刻特徵25 所反射的輕射分量’藉以抑制或排除基材2〇的其他表面 之反射輕射分量,例如在基材2〇上圖案化之抗蚀材質。 第21頁 本紙張尺度朝巾關家標準(CNS)A4規格(21〇χ 297公^ (請先閲讀背面之注意事項再填寫本頁) 裝 經濟部智慧財產局員工消費合作社印製 五、發明説明() -而選疋的頻率並不—定是頻帶的中央頻率,亦即可選 擇頻帶中之其他頻率,以獲得可比較的結果。在一實施例 中’頻帶範圍的頻率大約為基材20反射輕射分量之頻率 的±10%:例如以基材2G上介電材料之溝渠輪靡的姓刻特 徵25而言,較佳的頻率約從〇 〇他至〇 UHz,而氧化層 的餘刻率約為5 0 〇 〇埃/分鐘。 —在一實施例中,可以選擇頻帶的範目,轉供非相干 輻射源58义相干長度,例如電漿放射具有多個波長及相 位。相何度係為觀察輻射源、58所產生的干涉效應之長 度。以非相干的輻射源而言,相干長度與方程式入、入 有關,其中η是㈣層的折射係數,λ是f漿放射頻哉中 心頻率的波長’ Δλ為波長範園,以及帶通滤波器5;所 通過的頻率範圍。若選擇△久使得λ 2/δ λ 的厚度,則可以得到相干長度。在一實施例中,對於= 254nm為中心之電漿放射而言’帶通濾波器的△又值可為 1 ·5nm。 可利用帶通滤波器,以一個或多㈤循環來處理反射輕 射訊號,使得在每個週期中,訊號被過滤率而通過對應^ 來自蝕刻特徵的反射輻射頻率之輻射訊號分量,而抑制對 應於來自基材其他或抗蝕部份的反射輻射頻率之輻射訊 號。例如’在蝕刻製程期間,每個動作中,帶通濾波器將 會增加反射自基材蝕刻特徵之輻射訊號強度,係相對於反 射自其他的基材表面之輻射訊號強度。適當的週期次數由 1至1 0個週期,以2至5個週期最佳。 第22頁 510008 A7 B7 五、發明説明() 第7圖為經過多次操作之頻率響應對應於帶通濾波器 5 3之正規化頻率圖表,用以顯示具有以蝕刻特徵分量為^ 心頻率< 輻射強度的增量,其中此蝕刻特徵分量相對於抗 蝕劑分量或是相對於製程處理中所使用的轉磁場分量。若 路徑的編號由1至2 ,則非特徵反射輻射分量所造成的振 幅下降,可增強特徵的反射輻射訊號之訊雜比,此係以相 對於基材表面之其他訊號而言。 本發明適用於蝕刻設備27内的基材2〇,例如第& 圖及第8b圖所示。一般而言,此蝕刻設備27包含具有支 撐32之反應室35,此支撐用於承接製程區域3〇之基材 2〇,並藉由氣體供應裝置34將製程氣體導入反應室35 内’其中供應裝置包含氣體源36,以及位於基材2〇周邊 或固定於反應室(未標示)頂面的噴淋頭之氣體入口 38。氣 體流量控制器40可用於控制製程氣體的流率,並利用排 氣裝置42將反應後的製程氣體及蝕刻劑之生成物從反應 室3 5之内排出,此排氣裝置至少包含粗抽幫浦以及渦輪 分子幫浦以及一節流閥44用以控制反應室3 5内製程氣體 的壓力。 利用氣體激能裝置4 6將製程氣體形成能量化氣體或 是電漿’以轉合電磁能量至反應室3 5的處理區域内3 0之 製程氣體。例如第一製程電極5 4,可為反應室3 5的邊橋, 以及第二電極52,例如在基材20下方的支撐32之導電部 份,可以進一步將反應室35内的氣體能量化,如第8a圖 所示。第一電極54以及第二電極52利用一電極電壓供應 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) C請先閱讀背面之注意事項再填寫本頁} 裝· -訂 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 A7 B7 發明説明() =置62所提供2RF電壓作偏壓,而施加在電極上的rf ^頻率係由皿Hz i 6GMHz。另_實施例中,氣體激 =装置46包含一導電線圈47,用以耦合電磁能量至反應 主内35的氣體,如第8b圖所示。 蝕刻的基材20包含第一層22以及第二層24,製程參 數例如可為氣體成份、流率、壓力、偏壓電源以及溫度, 在整個製程中可以維持固定,亦可適當地變動,例如當第 :蝕刻步驟完成後,而第二蝕刻步騾開始之時。導入反應 室内35的氣體可根據基材上欲蝕刻的材質層22,24成之份 來作選擇。例如用於蝕刻含碳氫之有機抗反射層22的適 當製程氣體可為CF4。另一實施例,用於蚀刻基材2〇上的 氧化矽層24之製程氣體可為(:匕或Νι。例如,為了蝕刻 含碳氫之有機抗反射層之第一層22,可將2〇至1〇〇secm 之製程氣體CL導入反應室35中,而反應室35内的壓力 約維持在20至lOOmTorr之間,製程電極rf偏壓電源位 準約維持在100至500watts之間,一部份的反應室溫度維 持在-1 5 °C至4〇。(:之間。另一實施例,為了蝕刻利用 TEOS(Si(OCH3)3)來沉積氧化矽層之第二層24,將5〇至 200sccm之製程氣體CF4,以及15至lOOsccm之製程氣f N2導入反應室35中,而反應室35内的壓力約維持在1〇〇 至5 00mTorr之間,製程電極rf偏壓電源位準約為維持在 400至1200watts,以及一部份的反應室溫度維持在_15它 至40°C之間。 利用一幅射源58使輻射3 1入射於基材20上,例如 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· -訂·2. Description of the invention (degrees can be combined according to requirements, and the strength of the sum of the signals is not satisfactory. The etched feature window 41 represents the main area of the etched feature size, and many trench features in the opening area of the material are being processed. Therefore, When the feature size or opening area on the material becomes smaller, the traditional process monitoring method can only analyze the reflected radiation in the small window area. In another embodiment of the present invention, a filter 53 can be used to selectively filter the radiation. The signal generated by the detector 54 is related to the detection intensity of the reflected radiation. In one embodiment, the filter M is a T-pass / wave filter for increasing the relative intensity of the selected frequency band. The relative intensity is related to the intensity of other frequency components of the reflected radiation. For example, the bandpass filter 53 can be used to filter the input signal from the radiation detector 54 so that the frequency of the radiation reflected by the feature 25 is etched on the substrate 20 The bandwidth can be passed to reduce the signal strength of radiation not caused by the etched features 25 on the substrate 20. The type of bandpass filter 53 is based on the process And the limitation of the frequency band. In the embodiment, the band-pass filter 53 is an electrical signal processor, which filters the signal and passes only the frequency band. The electrical signal processor may be a digital signal processor for Digitize the radiated signal received from the radiation detector and filter the digitized signal. In one embodiment, the 'selected frequency is close to the center frequency of the frequency band. For example, if the band range of the band-pass filter is determined by AΗζ To BΗζ, the center frequency is (Α + Β) / 2 Hz. The frequency range is centered on one of the destructive / constructive interference signals, where the interference signal comes from the light component reflected by the etching feature 25 'In order to suppress or exclude the light reflection component of the other surface of the substrate 20, such as a patterned resist material on the substrate 20. Page 21 This paper is oriented toward the Towels Standard (CNS) A4 specification (21 〇χ 297 公 ^ (Please read the notes on the back before filling out this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention ()-The selected frequency is not the center of the frequency band Rate, that is, other frequencies in the frequency band can be selected to obtain comparable results. In an embodiment, the frequency of the frequency band range is about ± 10% of the frequency of the light component reflected by the substrate 20: for example, 2G on the substrate In the case of the engraved feature 25 of the upper dielectric material, the preferred frequency is about 0.000 Hz to 0 UHz, and the remaining rate of the oxide layer is about 5000 Angstroms / minute. In the example, the scope of the frequency band can be selected and transmitted to the coherent length of the non-coherent radiation source 58. For example, plasma radiation has multiple wavelengths and phases. The degree of coherence is the length of the interference effect produced by the radiation source 58. For non-coherent radiation sources, the coherence length is related to the equations I and I, where η is the refractive index of the chirped layer, λ is the wavelength of the center frequency of the RF chirped RF amplifier, Δλ is the wavelength range, and the bandpass filter 5; the frequency range passed. If Δ is selected so that the thickness of λ 2 / δ λ, the coherence length can be obtained. In one embodiment, for plasma emission centered at 254 nm, the delta of the band-pass filter may be 1.5 nm. The band-pass filter can be used to process the reflected light signal in one or more cycles, so that in each cycle, the signal is filtered by the rate corresponding to the radiation signal component of the reflected radiation frequency from the etched feature, and the corresponding signal is suppressed. Radiation signals at frequencies of reflected radiation from other or resist parts of the substrate. For example, during the etching process, in each action, the band-pass filter will increase the intensity of the radiation signal reflected from the etching characteristics of the substrate, relative to the intensity of the radiation signal reflected from the surface of other substrates. The appropriate number of cycles is from 1 to 10 cycles, with 2 to 5 cycles being the best. Page 22 510008 A7 B7 V. Description of the invention (7) Figure 7 is a normalized frequency chart corresponding to the frequency response of the bandpass filter 5 3 after multiple operations, which is used to display the frequency with etched characteristic components as the center frequency < The increase in radiation intensity, where the etch feature component is relative to the resist component or to the magnetic field component used in the process. If the path is numbered from 1 to 2, the amplitude reduction caused by the non-characteristic reflected radiation component can enhance the signal-to-noise ratio of the characteristic reflected radiation signal, which is relative to other signals on the surface of the substrate. The present invention is applicable to the substrate 20 in the etching apparatus 27, for example, as shown in Figs. & 8b. Generally speaking, the etching equipment 27 includes a reaction chamber 35 having a support 32 for receiving the substrate 20 of the process area 30 and introducing the process gas into the reaction chamber 35 through a gas supply device 34. The device includes a gas source 36 and a gas inlet 38 of a shower head located around the substrate 20 or fixed to the top surface of the reaction chamber (not labeled). The gas flow controller 40 can be used to control the flow rate of the process gas, and exhaust the reaction process gas and the product of the etchant from the reaction chamber 35 by using an exhaust device 42. The exhaust device includes at least a rough extraction The pump and the turbo molecular pump and the throttle valve 44 are used to control the pressure of the process gas in the reaction chamber 35. A gas excitation device 46 is used to form the process gas into an energized gas or a plasma to convert electromagnetic energy to a process gas of 30 in the processing area of the reaction chamber 35. For example, the first process electrode 54 can be a side bridge of the reaction chamber 35, and the second electrode 52, such as the conductive part of the support 32 under the substrate 20, can further energize the gas in the reaction chamber 35. As shown in Figure 8a. The first electrode 54 and the second electrode 52 are supplied by an electrode voltage. Page 23 The paper size applies the Chinese National Standard (CNS) A4 specification (210X 297 mm). C Please read the precautions on the back before filling in this page} -Ordered by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs and printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs and printed by A7 B7. Description of the invention Dish Hz i 6GMHz. In another embodiment, the gas excitation device 46 includes a conductive coil 47 for coupling electromagnetic energy to the gas in the main reactor 35, as shown in FIG. 8b. The etched substrate 20 includes a first layer 22 and a second layer 24. The process parameters can be, for example, gas composition, flow rate, pressure, bias power source, and temperature. They can be maintained fixed during the entire process, and can also be appropriately changed, such as When the first etching step is completed and the second etching step is started. The gas introduced into the reaction chamber 35 can be selected according to the material layers 22 and 24 to be etched on the substrate. For example, a suitable process gas for etching the organic anti-reflection layer 22 containing hydrocarbons may be CF4. In another embodiment, the process gas used to etch the silicon oxide layer 24 on the substrate 20 may be (: D or Nm. For example, in order to etch the first layer 22 of the organic anti-reflection layer containing hydrocarbon, the The process gas CL of 0 to 100 secm is introduced into the reaction chamber 35, and the pressure in the reaction chamber 35 is maintained between about 20 and 100 mTorr. The process electrode rf bias power level is maintained between about 100 and 500 watts. Part of the reaction chamber temperature is maintained at -15 ° C to 40 °. (:. In another embodiment, TEOS (Si (OCH3) 3) is used to etch a second layer 24 of silicon oxide layer for etching. A process gas CF4 of 50 to 200 sccm and a process gas f N2 of 15 to 100 sccm are introduced into the reaction chamber 35, and the pressure in the reaction chamber 35 is maintained between about 100 to 500 mTorr. The process electrode rf is biased to a power source position. The standard is maintained at about 400 to 1200 watts, and a part of the reaction chamber temperature is maintained at _15 to 40 ° C. A radiation source 58 is used to make the radiation 31 incident on the substrate 20, for example, page 24 This paper size applies to China National Standard (CNS) A4 (210X 297mm) (Please read the precautions on the back before filling This page) installed · - · Order

在反應室内部或外部的電漿、輻射燈管、發光二極體以及 雷射。輻射源58提供的雷射例如可為紫外線(uv)、可見 光或紅外線雷射;亦可提供其他型式的雷射,例如X射 線。輻射源例如由反應室内部產生電漿放射,通常在整個 頻譜區域内為具有多個波長之多重頻譜,如第8a圖所示。 吓可為非相干性之多重頻譜,亦即具有多個相位。輻射源 58亦可位於反應室35的外部,使得輻射源58之輻射η 可以通過一窗口 130而進入反應室35内,例如第8b圖所 不。輻射源58亦可提供具有主要特性波長的輻射,如單 —波長’可為單色光源,係為He_Ne或是Nd-YAG雷射。 雷射源提供具有主相位或單一相位之相干性光源。另一實 施例’輕射源5 8為具有多個波長之輻射放射燈管,例如 多色光源,且此多波長輻射可過濾成為單一波長。具有多 色光源之輻射源5 8包含Hg放電燈管,用以產生多個波長 之多色光源頻谱’其範圍由1 8 0 n m至6 0 0 n m。旅燈,例如 氣或H g - X e燈,及鶴滷素燈,以及發光二極體(L 〇)。 另一實施例中,非極化輻射源5 8提供非極化之光源, 例如紫外線、紅外線或是可見光。當極化輻射在製程中被 吸收’例如能量化氣體或電漿,或是累積在反應室的視窗 之殘留物,則非極化光源較為適用。極化的狀態亦會影響 材質的輻射吸收性,此材質為具有方向性的晶格結構,例 如除了立體對稱以外之晶體。 射入基材20的標準輻射可用於具有高深寬比待徵之 基材20材質層22,24上,例如抗蝕刻特徵,以正確地偵測 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明() 製私終點。這此^ 二裇準的入射輻射並沒有被抗蝕材質的特徵 擋住而可以到逵i含此n肪a ^ 」運^些材質層22,24之上。然而,值得 (請先閲讀背面之注意事項再填寫本頁) 思的是’若標準的入射輻射不適於偵測反可 使用其他角度之入射轉射。 則了 另夺 4. 罘二輻射偏振器59a,59b放在基材20之入射 輻射以及反射轉射路徑上,以使輕射極化成多個偏振角 度而本發明 < 實施例顯示第一及第二輻射偏振器 59a’5:b在輻射路徑上,此輻射路徑入射於基材2〇上。第 及^ y輻射偏振器59a,59b亦可在基材2〇所反射的路徑 上或疋第及第二輻射偏振器59a,5 9b可為輻射偵測裝 置54的部份。第一偏振器…以第一偏振角度的方向 使輻射通過,而第二偏振器59b以第二偏振角度的方向使 輻射通過。第一偏振器及第二偏振器590讣可為單一結 構或疋個以上之結構。在一實施例中,偏振器59a,59b 包含塗裝一層或多層薄膜之輻射穿透材料,用以選擇性地 將通過穿透材料的㈣作極化。另—實施射,偏振器可 為轉動式的過濾裝置。當使用轉動式偏振器59a,59b時, 經濟部智慧財產局員工消費合作社印製 使用固足的週期對輻射作取樣,以取得與特徵角度方向有 關之反射輻射訊號分量。 利用一個或多個輻射偵測器54來偵測反射自基材2〇 的輻射3 1。輻射偵測器54包含輻射感應器,光壓元件 (Photovoltaic Cell)、光二極體(Ph〇t〇di〇de)、光乘算器 (Photomultiplier)或是光電晶體(Ph〇t〇transist〇r)。輻射偵 測器5 4 |疋供一電氣輸出訊號以回應所量測的反射輕射強 第26育 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 510008 A7 B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁} 度’此強度包含電流通過一電氣元件之位準變化量,或是 施加在電氣元件之電壓變化量。亦可使用多個輕射偵測器 54,例如設定每個偵測器54來擷取具有不同偏振角度之 輕射。另一實施例中,設定每個偵測器54來偵測反射自 基材之極化輻射,或是來自能量化氣體之輻射放射。輻射 偵測器54提供一與所偵測輻射有關之訊號至控制器 1 00 °例如輻射偵測器可以提供與輻射放射中的一個或多 個波長之偵測強度有關的第一訊號,以及與基材反射的極 化輻射之一個或多個波長之偵測強度有關的第二訊號。利 用控制器100計算偵測訊號,以將特徵25的反射輻射訊 號及抗蝕材質2 1的反射輻射訊號作分離。亦可利用控制 器1 〇〇來計算所偵測的訊號,以決定具有不同偏振角度之 輻射大小,以及決定能量氣體所放射的輻射強度。 經濟部智慧財產局員工消費合作社印製 基材反射可利用較小的入射角或沿著垂直的方向來 偵測。垂直的偵測角度可正確地偵測反應室3 5内處理中 的特徵2 5。例如,為了決定蚀刻特徵2 5的深度,或是沉 積在特徵25内或基材20材質層上之材料厚度。當蝕刻特 徵25具有高深寬比時,因為輻射無法以較小的入射(或反 射)角度來導入而穿透特徵25的深度,以致於輻射被特徵 25邊牆或圖案化的抗蝕材質之邊牆擋住,所以垂直角度對 此問題特別有用。垂直方向的角度可以透過對輕射债則器 54的定位,或是將輻射源58(非指電漿源位於基材2〇上 方)置於基材20正上方來完成。 反應室3 5的操作可以藉由控制器1 〇〇執行在電腦系 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) " ^ 510008 A7 ____ B7 五、發明説明() 、’’克1 0 4中之畦細可碩取的製程控制程式} 〇 2來進行之。此 電腦系統包含一中央處理單元1〇6(cpu),例如購自加州 Synergy MiCrosystems公司之68〇4〇微處理器,或購自加 州Santa Clara intei股份有限公司之奔騰處理器,此中央 處理單元1G6連接至記憶體1G8以及周邊電腦元件。記憶 體包108含電腦可讀取媒體,其内部設有電腦可讀取程式 102。本發明較佳實施例中,記憶體1〇8包含硬碟ιι〇、軟 碟機112以及隨機存取記憶體114。電腦系統1〇4更包含 多個界面卡,例如類比/數位之輸出/輸入卡、界面卡及馬 達控制卡。操作者與控制器116之間的界面例如可為螢幕 118或光筆120。光筆120利用在其尖端的光感測器來偵 測螢幕118所放射的光。為了選用特定的螢幕或功能,操 作者接觸螢幕118的特定畫面,並按下光筆12〇的按鈕。 通常,接觸區域會改變顏色,或是出現新的選單,用以確 認操作者與控制器丨丨〇之間的溝通狀態。 電腦可讀取程式可用於操作控制器丨〇〇,電腦可讀取 程式例如可為儲存在其他記憶體内的程式,而記憶體2少 包括軟碟片,或是插在軟碟機112或是其他合適的裝置内 之電腦程式產品。製程控制程式102通常包含具有製程控 制碼之製程控制軟體124以操控反應室28及其元件、製 程監視程式126用於監視反應室28内正在執行的製程、 系統安全軟體以及其他控制軟體。電腦可讀取程式可 利用傳統的電腦可讀取程式語言來撰寫,例如組人钮士、 C++、pascal或是Fortran。適當的程式碼係利用傳統二文 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本10 裝· 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、 經濟部智慧財產局員工消費合作社印製 發明説明() 字編輯器來產生單—㈣或是多„案,並且儲存或是收 錄於電腦系統中記憶體1〇8之電腦可使用媒體上。若是輸 (請先閲讀背面之注意事項再填寫本頁) ,的程式碼文字係為高階程式,則該程式碼會先經:: 澤,再將編譯過的程式碼連結至預先編譯的程式庫之目的 碼。為了執行此連結並且編譯後之目的碼,操作者提出此 目的碼,並使得CPU106讀取及執行此程式碼,以執行程 式中所指定的程序。 第9圖係依據本發明製程控制程式1〇2中特定實施例 之階層控制架構的方塊圖。操作者利用一光筆輸入製程設 定值及反應室的編號至製程選擇程式132中,以回應在= 端螢幕上之選單或畫面。製程反應室程124式包含設定時 序、氣體成份、氣體流量、反應室壓力、RF功率位準、 支撐位置以及其他特定製程參數之程式碼。製程設定值係 為預先訂定的製程參數群組,用於執行特定的製程。製程 參數為製程條件,包含非特定的氣體成份、氣體流率、壓 力以及氣體能量裝置設定。此外,使用者將操作製程監視 程式126所需的參數輸入至製程選擇程式中。這些參數包 含所處理的材料之性質,特別是輻射吸收及反射特性,例 如反射係數或吸收係數,製程監視的演算法則係利用實驗 觀察所得的數據來建立;觀察所得或計算出來的數據表可 用來監視製程,以及基材上處理中材質的特性。 製程序列程式1 34包含程式碼,此程式碼用於接收反 應室的類型及來自製程選擇程式132之製程參數設定,以 及用於控制反應室的操作。製程序列程式134藉由通過特 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公复了 510008 A7 — B7 五、發明説明() (請先閱讀背面之注意事項再填寫本頁) 定的製程參數至反應室管理程式1 3 6中以開始執行製程設 定’其中反應室管理程式136在製程反應室28中控制多 個製程程序。一般而言,製程反應室程式1 2 4包含基材定 位程式138、氣體流量控制程式140、氣體壓力控制程式 142、氣體激能裝置控制程式1 44以及加熱器控制程式 146。通常,基材定位程式138包含用於控制反應室元件 來載入基材20於支撐32上之程式碼,以及來提高反應室 35中的基材20至所需高度,以控制基材20與氣體供應裝 置34的入口 38之間的間隙。製程氣體控制程式ι4〇具有 控制不同製程氣體成份的流率之程式碼。製程氣體控制程 式140用於控制關斷閥的開啟/關閉位置,並且可以調整氣 體流量控制器40,以獲得所需之氣體流率。壓力控制程式 14 2包含程式碼,此程式碼利用反應室2 8中排氣裝置4 2 之節流閥44的孔徑大小來控制反應室的壓力。氣體激能 裝置控制程式1 44包含程式碼,此程式碼用以設定施加在 反應室35的製程電極52,54之低頻RF功率位準以及高頻 RF功率位準。此外,加熱控制程式146至少包含程式碼, 經濟部智慧財產局員工消費合作社印製 用以控制加熱元件(未標示)之溫度,用於選擇性地加熱支 撐32及基材2〇。 製程監視程式126可包含程式碼以由輻射源58、輕射 债測器54或控制器100中獲得取樣或是參考訊號,並且 根據事先定義的程式規範來處理此訊號。一般而士,係利 用輻射偵測器54之類比/數位轉換卡,以將輻射震幅或頻 譜軌跡提供給控制器1〇〇。製程監視程式126亦可將指令 第30頁Plasma, radiant tubes, light-emitting diodes, and lasers inside or outside the reaction chamber. The laser provided by the radiation source 58 may be, for example, an ultraviolet (UV), visible light, or infrared laser; other types of lasers may also be provided, such as X-rays. The radiation source is generated by plasma emission inside the reaction chamber, for example, and generally has a multiple spectrum with multiple wavelengths in the entire spectrum region, as shown in Fig. 8a. It can be a non-coherent multiple spectrum, that is, it has multiple phases. The radiation source 58 can also be located outside the reaction chamber 35, so that the radiation η of the radiation source 58 can enter the reaction chamber 35 through a window 130, for example, as shown in Fig. 8b. The radiation source 58 can also provide radiation having a characteristic wavelength. For example, a single wavelength can be a monochromatic light source, which is a He_Ne or Nd-YAG laser. Laser sources provide coherent light sources with a main phase or a single phase. In another embodiment, the light source 58 is a radiation emitting lamp tube having multiple wavelengths, such as a multi-color light source, and the multi-wavelength radiation can be filtered to a single wavelength. The radiation source 5 8 with a multi-color light source includes a Hg discharge tube, which is used to generate a spectrum of multi-color light sources with multiple wavelengths, ranging from 180 nm to 600 nm. Travel lights, such as gas or Hg-Xe lamps, and crane halogen lamps, and light emitting diodes (L0). In another embodiment, the non-polarized radiation source 58 provides a non-polarized light source, such as ultraviolet, infrared or visible light. Non-polarized light sources are more suitable when polarized radiation is absorbed during the process, such as energized gas or plasma, or residues accumulated in the window of the reaction chamber. The state of polarization will also affect the radiation absorption of the material. This material has a directional lattice structure, such as a crystal other than stereosymmetric. The standard radiation injected into the substrate 20 can be used on the material layers 22, 24 of the substrate 20 with high aspect ratio to be acquired, such as anti-etching features, to correctly detect page 25. This paper applies Chinese National Standards (CNS) A4 specifications (210X 297 mm) (Please read the precautions on the back before filling out this page) Equipment · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Invention Description () End of private manufacturing. These quasi-incidence incident radiation is not blocked by the characteristics of the resist material, and can go to some material layers 22, 24 containing this material. However, it is worthwhile (please read the notes on the back before filling out this page). The thought is ‘if standard incident radiation is not suitable for detection, other angles of incidence can be used. 4. The second radiation polarizers 59a and 59b are placed on the incident radiation and reflection transmission path of the substrate 20 so that the light emission is polarized into multiple polarization angles. The embodiment of the present invention < The second radiation polarizer 59a'5: b is on a radiation path, which is incident on the substrate 20. The first and second radiation polarizers 59a, 59b may also be on the path reflected by the substrate 20 or the first and second radiation polarizers 59a, 59b may be part of the radiation detection device 54. The first polarizer ... passes radiation in the direction of the first polarization angle, and the second polarizer 59b passes radiation in the direction of the second polarization angle. The first polarizer and the second polarizer 590A may have a single structure or more than one structure. In one embodiment, the polarizers 59a, 59b include a radiation penetrating material coated with one or more thin films to selectively polarize the chirp passing through the penetrating material. In addition, the polarizer may be a rotating filtering device. When rotating polarizers 59a, 59b are used, printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. The radiation is sampled using a fixed period to obtain the reflected radiation signal component related to the characteristic angular direction. One or more radiation detectors 54 are used to detect radiation 31 reflected from the substrate 20. The radiation detector 54 includes a radiation sensor, a photovoltaic cell, a photodiode, a photomultiplier, or a phototransistor. ). Radiation detector 5 4 | 疋 Provides an electrical output signal in response to the measured reflected light emission intensity. 26th paper size Applies to Chinese National Standard (CNS) A4 specifications (210X297 mm) 510008 A7 B7 V. Description of the invention () (Please read the precautions on the back before filling out this page} Degree 'This intensity includes the amount of change in the level of current passing through an electrical component, or the amount of voltage change applied to an electrical component. Multiple light shots can also be used For example, each detector 54 is set to capture light beams with different polarization angles. In another embodiment, each detector 54 is set to detect polarized radiation reflected from the substrate, or Radiation emission from energized gas. The radiation detector 54 provides a signal related to the detected radiation to the controller 100 °. For example, the radiation detector can provide detection intensity related to one or more wavelengths in the radiation emission. The related first signal and the second signal related to the detection intensity of one or more wavelengths of the polarized radiation reflected by the substrate. The detection signal is calculated by the controller 100 to combine the reflected radiation signal of the characteristic 25 and the impedance. Corroded material The reflected radiation signal of 21 is separated. The controller 100 can also be used to calculate the detected signal to determine the size of the radiation with different polarization angles and the intensity of the radiation emitted by the energy gas. Bureau of Intellectual Property, Ministry of Economic Affairs Employee consumer cooperative printed substrate reflections can be detected using a smaller angle of incidence or along a vertical direction. Vertical detection angles can correctly detect features in processing within the reaction chamber 3 5. For example, to Determines the depth of the etched feature 25, or the thickness of the material deposited in the feature 25 or the material layer of the substrate 20. When the etched feature 25 has a high aspect ratio, because radiation cannot come at a smaller angle of incidence (or reflection) Introduce and penetrate the depth of feature 25 so that the radiation is blocked by the side wall of feature 25 or the side wall of the patterned resist material, so the vertical angle is particularly useful for this problem. The angle in the vertical direction can be transmitted through the light-emitting device. The positioning of 54 is accomplished by placing the radiation source 58 (not referring to the plasma source above the substrate 20) directly above the substrate 20. The operation of the reaction chamber 35 can be controlled by the controller 100. Executed on page 27 of the computer department. The paper size applies to the Chinese National Standard (CNS) A4 specification (210X297 mm) " ^ 510008 A7 ____ B7 V. Description of the invention () The computer control system includes a central processing unit 106 (cpu), such as a 6804 microprocessor from Synergy MiCrosystems, California, or Santa Clara intei, California. Co., Ltd.'s Pentium processor, this central processing unit 1G6 is connected to the memory 1G8 and peripheral computer components. The memory package 108 contains computer-readable media, and the computer-readable program 102 is set inside. In a preferred embodiment of the present invention, the memory 108 includes a hard disk drive 112, a floppy disk drive 112, and a random access memory 114. The computer system 104 also includes multiple interface cards, such as analog / digital output / input cards, interface cards, and motor control cards. The interface between the operator and the controller 116 may be, for example, the screen 118 or the light pen 120. The light pen 120 uses a light sensor at its tip to detect light emitted from the screen 118. In order to select a specific screen or function, the operator touches a specific screen of the screen 118 and presses the button of the light pen 120. Usually, the contact area changes color or a new menu appears to confirm the communication status between the operator and the controller. The computer-readable program can be used to operate the controller. The computer-readable program can be, for example, a program stored in other memory, and the memory 2 rarely includes a floppy disk, or can be inserted into the floppy disk drive 112 or It is a computer program product in other suitable devices. The process control program 102 generally includes process control software 124 with a process control code to control the reaction chamber 28 and its components, and a process monitoring program 126 to monitor the processes being performed in the reaction chamber 28, system security software, and other control software. Computer-readable programs can be written using traditional computer-readable programming languages, such as group buttons, C ++, pascal, or Fortran. Appropriate code is based on the traditional Chinese version on page 28. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X 297 mm) (Please read the precautions on the back before filling out this.) Staff of Intellectual Property Bureau, Ministry of Economic Affairs Printed by Consumer Cooperatives 510008 A7 B7 V. The Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs printed a description of inventions () with a word editor to generate single- or multiple-cases, and stored or included in the memory of the computer system 1〇 The computer of 8 can be used on the media. If it is lost (please read the precautions on the back before filling this page), the code text is a high-level program, and the code will first pass through: Zize, and then the compiled program The code is linked to the object code of the pre-compiled library. In order to execute the link and the compiled object code, the operator proposes the object code and causes the CPU 106 to read and execute the code to execute the program specified in the program. FIG. 9 is a block diagram of a hierarchical control architecture according to a specific embodiment of the process control program 102 of the present invention. An operator uses a light pen to input process setting values and the reaction chamber. No. to process selection program 132, in response to the menu or screen on the = screen. Process reaction chamber type 124 includes setting timing, gas composition, gas flow, reaction chamber pressure, RF power level, support position and other specific Code for process parameters. Process setting values are pre-defined process parameter groups used to perform specific processes. Process parameters are process conditions that include non-specific gas components, gas flow rates, pressures, and gas energy device settings In addition, the user inputs the parameters required to operate the process monitoring program 126 into the process selection program. These parameters include the properties of the material being processed, especially the radiation absorption and reflection characteristics, such as the reflection coefficient or absorption coefficient. The algorithm is established using experimentally obtained data; the observed or calculated data table can be used to monitor the process and the characteristics of the material on the substrate during processing. Manufacturing program 1 34 contains code, which is used to receive Type of reaction chamber and process parameter setting from process selection program 132, And used to control the operation of the reaction chamber. The production process program 134 applies the Chinese National Standard (CNS) A4 specification (210X297 publicly-reported 510008 A7 — B7 by passing the paper size on page 29). 5. Description of the invention () (please first (Read the notes on the back and fill in this page again) to set the process parameters to the reaction room management program 1 3 6 to start the process setting. 'The reaction room management program 136 controls multiple process programs in the process reaction room 28. Generally speaking The process chamber program 1 2 4 includes a substrate positioning program 138, a gas flow control program 140, a gas pressure control program 142, a gas excitation device control program 1 44 and a heater control program 146. Generally, the substrate positioning program 138 includes Used to control the reaction chamber element to load the code of the substrate 20 on the support 32, and to raise the substrate 20 in the reaction chamber 35 to a desired height to control the substrate 20 and the inlet 38 of the gas supply device 34. Gap. The process gas control program ι40 has code for controlling the flow rate of different process gas components. The gas control process 140 is used to control the open / close position of the shut-off valve, and the gas flow controller 40 can be adjusted to obtain the desired gas flow rate. The pressure control program 14 2 includes a code, which controls the pressure of the reaction chamber by using the aperture size of the throttle valve 44 of the exhaust device 4 2 in the reaction chamber 28. The gas excitation device control program 1 44 contains code for setting the low-frequency RF power level and the high-frequency RF power level of the process electrodes 52, 54 applied to the reaction chamber 35. In addition, the heating control program 146 includes at least code, which is printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs to control the temperature of the heating element (not labeled) for selectively heating the support 32 and the substrate 20. The process monitoring program 126 may include code to obtain a sample or a reference signal from the radiation source 58, the light detector 54 or the controller 100, and process the signal according to a pre-defined program specification. Generally, an analog / digital conversion card such as a radiation detector 54 is used to provide the radiation amplitude or spectral trajectory to the controller 100. The process monitoring program 126 can also send instructions

510008 A7 B7 發明説明() ^ 送至控制器1〇〇,以操作元件,例如輻射源58,輻射偵測 器54以及其他元件。例如,當第一蝕刻步驟已經完成時, 製私監視程式1 26可將指令送至控制器丨〇〇,以使操作輕 射偵測器54,用以偵測來自基材2〇之極化輻射。製程^ 視程式亦可將指令送至反應室管理程式136或其他程式, 以改變製程條件或是其他反應室的設定值。 製程監視程式1 2 6亦可包含程式碼,以取得並計算來 自輻射偵測器54的訊號。程式碼的設計可以降低反射輻 射中不需要的頻率分量之強度,例如非由基材2〇上處理 中特徵20所反射的輻射之頻率分量。例如可以調整帶通 滤波器以過滤來自偵測器的輸入輻射訊號,以取得以一個 或多個來自基材20之反射輻射的選定頻率之為中心頻 帶。製程監視程式1 26亦可包含程式碼以計算來自偵測器 <第一訊號,第一訊號與一個或多個輻射放射波長的偵測 強度有關,以及計算來自偵測器之第二訊號,第二訊號與 反射自基材20之極化輕射的的偵測有關。製程監視程式 1 26可以計算第一訊號及第二訊號,以決定第一蝕刻步驟 及第二蝕刻步驟是否完成。例如,製程監視程式1 26可以 藉由计异輻射放射之一個或多個波長的強度變量,例如強 度增量,以計算第一訊號。製程監視程式126例如可以藉 由增加訊號分量的強度來計算第二訊號,其中訊號分量來 自極化輻射,此極化輻射反射自基材2〇上蝕刻中特徵, 相對於其他訊號分量。此訊號分量的強度可以藉由處理所 偵測的極化輻射之不同偏振角度的訊號分量來增加,並藉 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210χ 297公爱) (請先閲讀背面之注意事項再填寫本頁} 裝· 訂· 經濟部智慧財產局員工消費合作社印製 510008 A7 B7 五、發明説明( 以決足此訊號分量的比值或減乘積。製程監視程式丨26可 以计算處理中第二訊號,以決定基材2 〇上蝕刻特徵的深 度,藉以決定第二蝕刻步驟是否完成。 為了疋義製程監視程式126,首先,選擇一個或多個 具有預定厚度的材質之基材20來處理。每一基材2〇同時 放置在製程反應室35内並且設定製程條件以處理一材質 層22或是基材20上之一底層材料24。利用一個或多個輻 射偵測器54以監視反應室中來自基材2〇或是由電漿所放 射的輻射。在產生一系列的軌跡之後,藉由檢查以驗證軌 跡特性之可辨認增量,作為電腦程式的輸入,係以演算法 則、數值表或其他規範,以適當地計算反應室中之事件或 是基材20的特性。例如,製程監視程式丨26可以包含程 式碼以計算相對於反射輻射強度之訊號,其中此反射輻射 用於偵測基材20處理的開始以及結束。另一實施例中, 電腦程式包含程式碼以處理第一及第二訊號,而第一及第 二訊號分別對應於來自電漿的放射輻射以及基材的反 射輻射。 因此,製程監視程式126可包含程式碼以分析轉射偵 測器54所提供的輸入訊號軌跡,並且決定製程步驟的終 點或完成,當所需的規範設定達到時,例如當读測的訊號 之屬性類似於事先的程式化值。例如製程監視程式可 包含程式碼以決定何時第一蝕刻步驟可以蝕刻完成第L 材質層,以&決定何時第二蝕刻步驟可以蝕刻$成特:至 第二材質層24上之預定深度。製程監視程式126可包含 第32頁 本紙張尺度剌巾翻家標準(CNS)A4規格(2獻撕公 (請先閱讀背面之注意事項再填寫本頁} 裝· 經濟部智慧財產局員工消費合作社印製 五 經濟部智慧財產局員工消費合作社印製 發明說明() 程式碼用以偵測基材20上處理材質的性質,例如厚产或 其他特性,如晶格特性、微結構、多孔性、電氣性質:化 =性質以及基# 20上㈣的成份特性。亦可規劃電腦程 式以偵測正在處理中的基材2〇之啟始與結束,例如侦測 振幅的變化或是輻射31振幅的變化率。將需求的規範規 劃至製程監視程式126中作為預存的參數及演算法則。此 权式亦可包含程式碼以建立輻射軌跡的模型,由模型化的 軌棘中選擇一特徵或是使操作者可以選擇一特徵,儲存模 型化軌跡或特徵,偵測一部份來自輻射偵測器54之輸入 訊號,計算相對於儲存軌跡或特徵之量測訊號,並且呼叫 基材20上執行的製程步驟之結束指示,或是顯示在基材 2 0上所量測的材料性質。 另一實施例中,製程監視程式1 26包含程式碼用以持 續地分析反射輻射的量測振幅軌跡,係藉由移動一軌跡終 端部份附近之视窗並及時後退,而在預先的演算法則中具 有訊號咼度以及時間長度。視窗的設定可以程式化而用以 偵測反射強度執跡的谷值及峰值,觸發正向的斜率以偵測 之後的終點值,或是觸發負向的斜率以偵測軌跡上谷值之 前的終點值。當軌跡上的訊號變得過於陡峭而消失或是由 預定視窗(”WINDOW OUT”)移走時,或者是訊號變得平緩 並且進入視窗(’’WINDOW IN”)時,則符合第一法則的要 求。此外,視窗依序地置於移動中的軌跡以產生完整的規 範組,以決定及時軌跡上所量測的訊號之變動為製程的終 點,例如製程的開始或結束,材料性質的變化,或只是雜 第33頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 510008 A7 B7 五、發明説明( 訊而已。視窗進入或是離開的方向亦可設定為預先輸入規 範 < 一邵份,此規範用於操控製程監視程式丨26。當偵測 到製程開始或結束之訊號時,製程監視程式丨26通知製程 反應室程式傳送指令至控制器100,以改變反應室中處理 基材20的製程條件。可調整控制器1〇〇以控制一個或多 個氣體供應裝置34、氣體激能裝置46或是節流閥44,以 改變反應室3 5中與所接收的訊號有關之製程參數。 由控制器1 00所接收的資料訊號可傳送至工廠自動化 之主電腦3 00。工廠自動化之主電腦3〇〇包含一主軟體程 式3 02用以計算來自各個系統27、操作平台或是反應室 35之資訊,以及對於批量的基材2〇,或是延伸的週期時 間’以鏗識統計的製程控制參數⑴處理基材2〇之製程; (π)單一基材20上的性質以統計的模式作變動(iii)批量之 基材20的性質以統計的模式作變動。主軟體程式3〇2可 以使用資訊以不斷進行製程計算或適用來控制其他的製 程參數。適當的主軟體程式包含“ w〇rkstreamtm,,軟體 程式’可由前述之應用材料公司取得。可進一步調整工廢 自動化之主電腦300,藉由提供指令訊號,以⑴由製程序 列中移除特定的基材2 0,例如,基材2 0的特性不足,或 沒有符合統計決定的數值範圍,或是製程參數偏離可接受 的範圍;(ii)結束特定反應室中的製程步騾或是(Hi)調整有 關於不合適的基材20特性的決定之製程條件或參數。工 廠自動化之主電腦300亦可在製程開始或結束之後提供指 令訊號以回應由主軟體程式302所計算的資訊。 第34頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· -訂· 經濟部智慧財產局員工消費合作社印製 510008 A7 __ B7 五、發明説明() (請先閲讀背面之注意事項再填寫本頁) 進一步發現,反射輻射的訊雜比可以藉由放置一視窗 來改善之,其中輻射偵測器54透過此视窗觀看遠離基材 2〇的反射輕射,此視窗位於反應室35牆壁之嵌壁 61(ReCess)内。第1〇a圖顯示反應室的剖面示意圖,其中 反應室具有一視窗130位於反應室35牆壁5丨之嵌壁上, 一偵測器54以偵測來自基材20以及通過此視窗13〇之反 射輻射’並且產生一訊號以回應所偵測的輻射,以及一控 制器100以計算所偵測的訊號而監視製程。視窗13〇至少 包含可被輻射波長穿透之材料,此輻射波長由控制器100 來控制。對於紅外線、可見光以及紫外線之輻射,視窗13〇 可利用陶瓷來製作,例如一種或多種的 Al2〇3,Si,Si02,Ti02,Zr02或是其混合物或化合物。陶瓷材 料可為單晶材料,如單晶氧化鋁之藍寶石,其對滷素電漿 具有較佳的抗腐蚀性,特別是含氟之電漿。 經濟部智慧財產局員工消費合作社印製 在反應室35的牆壁51上製作形成嵌壁61以承接一 罩幕1 4 0,如第1 〇圖所示。例如當罩幕i 4 〇為圓柱形時, 嵌壁61亦可製成圓柱形。而罩幕14〇的尺寸可以涵蓋視 窗’藉以降低或避免製程沉積的殘渣留在視窗丨3 〇上。罩 幕可由抗腐蝕材料製成,以避免反應室内35的製程氣體 或電漿腐蚀,抗電漿腐蚀的材質例如可為Α1203,Si02,A1N BN,Si,SiC,Si3N4,Ti02,Zr〇2或是其混合物或化合物。 罩幕140至少包含一個或多個孔洞145,如第i〇b圖 所示。所製作形成的孔洞145用於降低製程殘留物的沉 積’而可使足夠的輻射通過,以操作控制器1 〇〇。例如孔 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 51〇〇〇8 A7 B7 五 經濟部智慧財產局員工消費合作社印製 發明説明() 洞145的形狀及尺寸可使入射及反射輻射束通過,以用於 千涉分析或橢圓分析,或是孔洞1 4 5的形狀及尺寸可監視 電漿之光譜放射,以作為電漿放射分析。可以確定的是, 藉由降低中性氣體種類的接觸(通常是殘留的種類)或是藉 由高度的能量化氣體離子來蝕刻位於孔洞1 45牆壁上的殘 留物,則可降低製程殘留物的殘留。通常,在能量氣體種 類到嵌壁内表面之前,例如嵌壁上的視窗,嵌壁的深寬比 以及深度控制能量氣體種類的行進距離。合適的孔洞1 4 5 包含深寬比至少約為0.25:1,以及深寬比亦可少於約 1 2:1。在一實施例中,孔洞1 45的開口尺寸約由〇. 1 mm至 5〇mm,而其深度約由〇·5至500mm。罩幕140亦可包含 複數個孔洞145,例如複數個六角形孔或是圓形孔。 本發明亦可調整電磁場源以使視窗1 3 0周圍具有電磁 場。電磁場源包含一電場源或是一磁場源。施加在牆壁5 i 上的電磁場源製程殘留物的沉積於反應室嵌壁51之視窗 1 30上。例如第1 0a圖所示之實施例中,電磁場源包含磁 場源195,以調整靠近牆壁5丨部份、嵌壁61周圍或是橫 跨視窗130之磁場。磁場源195包含一磁鐵200或是電磁 鐵(未標示)’係定位於鄰接的嵌壁、牆壁或視窗13〇,以 提供磁能,例如在一實施例中,磁能可以侷限在後壁6 1 或視窗130附近的空間中,且磁能可以僅穿透一小段的距 離而進入反應室3 5中。在此實施例中,磁場源提丨9 5供 之磁場以集中通過嵌壁61或視窗1 3 0為較佳,係以相對 於反應A 35之其他部份而言。通常,適當的磁場強度由第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· -訂· 510008 五 經濟部智慧財產局員工消費合作社印製 A7 B7 發明説明() 10高斯至10,000高斯,以5〇高斯至2〇〇〇高斯為較佳, 實際在選擇磁場強度時,須依照視窗的尺寸大小、電漿離 子的能量以及其他因素而定。第1 〇a圖所示之實施例,磁 場源195包含多個磁極200,係位於牆壁上嵌壁的周緣, 並且具有反向的磁極。 在第11圖所示之另一實施例中,電磁場源包含一電 場源220,以提供電能於牆壁51、嵌壁61或橫過視窗130, 以維持固定的電場。可以確定的是,藉由驅除帶電的殘留 物,電場可以降低製程殘留物留在牆壁51、嵌壁61或是 視窗1 30上,或者是驅使能量化氣體來轟擊視窗,以蝕刻 去除製程殘留物。電場源220可包含一電極225,係鄰近、 鄰接於牆壁,或在艢壁51後方,此電極亦可在嵌壁61附 近或是靠近視窗1 3 0,以搞合產生電能。可以調整電能以 使的電場分量平行或垂直於踏壁51或視窗130的平面。 電極225的尺寸大小足以提供一電場來涵蓋整個牆壁5 i 或是視窗130區域。電極225亦可能包含渦電流,可藉由 降低狹縫的形狀及尺寸來減少由電極225所感應出來的滿 電流。一電壓源245提供電極225 — DC,AC或RF偏壓, 約由10至10000伏特,並且以20伏特至4000伏特為較 佳。 第12圖顯示輻射隨著處理時間衰減之圖表,係對於 裸露視窗130、嵌壁視窗130、以及具有鄰接磁鐵200之 嵌壁視窗130。可以看出,輻射通過裸露、無嵌壁視窗, 缺少電磁場源,到達最大的可接受的衰減,至少約為4〇 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝· 、\一一口 五、發明說明( 個電裝製程小時。相較之 相杈<下,通過嵌壁視窗130的輻 到最大可接受的衰減約為1〇〇 ^ 2 & 小時。通過具有鄰接磁鐵 200之嵌壁視窗13〇的輻 ^ 〇輻射達到最大可接受的衰減約為 〇小時《後。上述資訊顯示,在電漿製程期間,嵌辟 冒130提供輻射強度衰減之降低。增加電磁場源,以^ 接的磁鐵2G0為例,實際上可以大幅降低衰減量。 實施例 下列實施例用於說明本發明之功多支,熟悉此領域技藝 者於領悟本發明之精神冑,亦適料其他製程或是其他用 途而本發明之_實施例僅用彡繁助瞭解本發明之實施,非 用以限定本發明之精神。 第一實施例 在此實施例中,在強化式磁鐵蝕刻反應室中於基材2〇 上蝕刻一特徵25,此反應室具有一罩幕覆蓋之嵌壁視窗, 並且在視窗附近具有磁場產生裝置,如第1〇a圖所示。蝕 刻的基材20係為具有介電層22之矽晶圓,其中介電層22 包含lum氧化矽層、〇.ium氮化矽層以及luni氧化矽層, 一底層圖案化光阻層21覆蓋於介電層22上。利用製程氣 體例如 40sccm 之 CHF3、20sccm 之 CF4 以及 50sccm 之 Ar, 以餘刻介電層22。反應室的壓力維持在2〇〇mT〇rr,製程 廷極之RF偏壓電源位準為1 300watts,一部份的反應室溫 度維持在15C。蚀刻特徵25的開口尺寸約由0.4至lum, 碎晶圓上的曝露介電區域(氧化矽)約為5至50%。 在本實施例中,利用兩個偏振角度來偵測基材20的 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) C請先閱讀背面之注意事項再填寫本頁) ---I---—訂·--------. 經濟部智慧財產局員工消費合作社印製 510008 A7 Γ-----------B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 反射輻射,而帶通濾波器用於計算輻射偵測器54所產生 的訊號第一及第二輻射偵測器5 4用於偵測極化輻射的 P-分量及S-分量入射在基材20的輻射至少包含波長為 254nm之輻射。位於輻射路徑的帶通濾波器可以選擇性地 調整具有位於帶通範圍之輻射頻率,此帶通範圍以反射自 基材蚀刻特徵2 5之輻射頻率為中心。 第1 3圖輻射偏振化後之訊號軌跡,將所偵測到的極 化輻射訊號作比例化,並利用帶通濾波器對比例化後的訊 號作兩個週期之處理。入射輻射的波長為254nm。特徵25 的反射輻射與抗蝕材質的比值可以確定。利用兩個週期的 帶通濾波器來處理比例的訊號軌跡。對於具有50%開口區 域的基材2 0而言,預定的深度與量測的餘刻深度一致, 約為0.46um。當對具有30%開口區域之基材20進行相同 的測試時,預定的蝕刻深度為0.49um與所量測的蝕刻深 度0.50um稍有不同。以20%開口區域之基材20而言,預 定的蚀刻深度為0.46um,而所量測的银刻深度〇.48um, 這些結果顯示本發明所述之方法及裝置具有高準確性。 第二實施例 經濟部智慧財產局員工消費合作社印製 在此實施例中,在基材20上蚀刻特徵25,此基材20 包含具有第一折射係數之第一層22以及具有第二折射係 數之第二層24。在強化式磁鐵蝕刻反應室3 5中執行蝕刻 製程,此反應室具有一罩幕140覆蓋之歲壁視窗61,並且 在視窗61附近具有磁場源19 5 ’如第9圖所示。基材2 0 為具有介電層之矽晶圓,由上至下為厚度500埃的碳化 第39百 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 29/公釐) 510008 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 物,介電層24係為利用TEOS(Si(〇CH3)3)沉積形成之氧化 矽,其厚度為lum,以及一替代層22,其為具有碳與氫之 厚度600埃的black diamondTM,一底層圖案化光阻層21 覆蓋此替代層22。 在第一蝕刻步驟中,利用一能量化製程氣體之50sccm 的 CF4來蝕刻此替代層22。反應室的壓力維持在 50mT〇rr,製程電極之rF偏壓電源位準為3〇〇watts,一部 份的反應室溫度維持在1 5 °C。能量化製程氣體的輻射放射 之波長強度係利用波長3 8 6 5埃來決定第一蝕刻步驟的完 成。第14a圖顯示所觀察到的輻射波長強度為時間的函 數。在此圖中,輻射波長強度約持續下降2〇秒,在此點 之後,其強度迅速地增加,以顯示出替代層22已經被蝕 刻穿透,而曝露出介電層24。 然後,在第二蝕刻步驟中,利用一能量化製程氣體之 lOOsccm的CF4及3 0sccm的N2來蝕刻此介電層24。反應 室的壓力維持在200mTorr,製程電極之rf偏壓電源位準 為800watts,一部份的反應室溫度維持在15。(:。利用兩個 偏振角度來偵測基材2 0反射輕射,以決定第二蚀刻步驟 的完成。這兩個偏振角度包含與基材20上蝕刻特徵的主 方向垂直之第一角度,以及與特徵的主方向平行之第二角 度。在基材20上的入射輻射波長254nm之輻射。第14b 圖顯示基材反射輻射經過偏振後之訊號軌跡,並對所偵測 的極化輻射訊號作比例化,以此訊號作基準,蝕刻製程的 終點在第1 5秒時決定,其蝕刻深度為8 〇 〇埃。 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------I I h I ---- (請先閱讀背面之注意事項再填寫本頁) tl---------01. 510008 A7510008 A7 B7 Description of the invention () ^ is sent to the controller 100 to operate elements such as the radiation source 58, the radiation detector 54, and other elements. For example, when the first etching step has been completed, the private surveillance program 126 may send a command to the controller, so that the light detector 54 is operated to detect the polarization from the substrate 20. radiation. The process ^ video program can also send instructions to the reaction chamber management program 136 or other programs to change the process conditions or other setting values of the reaction chamber. The process monitoring program 1 2 6 may also include code to obtain and calculate the signal from the radiation detector 54. The design of the code can reduce the intensity of unwanted frequency components in the reflected radiation, such as the frequency components of the radiation that are not reflected by the feature 20 being processed on the substrate 20. For example, a band-pass filter may be adjusted to filter the input radiation signal from the detector to obtain a center frequency band with one or more selected frequencies of the reflected radiation from the substrate 20 as the center frequency. The process monitoring program 1 26 may also include code to calculate the first signal from the detector, the first signal being related to the detection intensity of one or more radiation emission wavelengths, and the second signal from the detector, The second signal is related to the detection of polarized light reflected from the substrate 20. The process monitoring program 1 26 can calculate the first signal and the second signal to determine whether the first etching step and the second etching step are completed. For example, the process monitoring program 126 may calculate the first signal by measuring the intensity variable of one or more wavelengths emitted by the different radiation, such as the intensity increment. The process monitoring program 126 can, for example, calculate the second signal by increasing the strength of the signal component, where the signal component comes from polarized radiation, which is reflected from the etching feature on the substrate 20, relative to other signal components. The strength of this signal component can be increased by processing the signal components of the different polarization angles of the detected polarized radiation, and the paper size on page 31 applies the Chinese National Standard (CNS) A4 specification (210χ 297 public love) ( Please read the precautions on the back before filling out this page} Binding, ordering, printing by the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumer Cooperatives 510008 A7 B7 V. Description of the invention (in order to determine the ratio or subtraction product of this signal component. Process monitoring program 丨26. The second signal during processing can be calculated to determine the depth of the etching feature on the substrate 20 to determine whether the second etching step is completed. To define the process monitoring program 126, first, select one or more materials with a predetermined thickness. The substrate 20 is processed. Each substrate 20 is simultaneously placed in the process reaction chamber 35 and the process conditions are set to process a material layer 22 or an underlying material 24 on the substrate 20. Using one or more radiation detectors The detector 54 monitors the radiation from the substrate 20 or the plasma in the reaction chamber. After a series of trajectories are generated, the trajectory characteristics are verified by inspection. Recognize the increment, as an input to a computer program, using algorithms, numerical tables, or other specifications to properly calculate the events in the reaction chamber or the characteristics of the substrate 20. For example, the process monitoring program 26 may include code to Calculate a signal relative to the intensity of the reflected radiation, where the reflected radiation is used to detect the start and end of processing of the substrate 20. In another embodiment, the computer program includes code to process the first and second signals, and the first and The second signal corresponds to the radiant radiation from the plasma and the reflected radiation from the substrate. Therefore, the process monitoring program 126 may include code to analyze the input signal trajectory provided by the transmission detector 54 and determine the end point of the process steps. Or complete, when the required specification setting is reached, such as when the properties of the read signal are similar to the pre-programmed values. For example, the process monitoring program may include code to determine when the first etching step can etch the L-th material layer. With & decide when the second etching step can etch $ 成 特: to a predetermined depth on the second material layer 24. Process monitoring process 126 may include page 32 of this paper-size paper towel standard (CNS) A4 specification (2 torn publicly (please read the precautions on the back before filling out this page) Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, the Consumer Cooperatives printed the description of the invention () The code is used to detect the properties of the processed material on the substrate 20, such as thick production or other characteristics, such as lattice characteristics, microstructure, porosity, and electrical properties: = Properties and composition characteristics of base # 20. Computer programs can also be planned to detect the beginning and end of the substrate 20 being processed, such as detecting changes in amplitude or the rate of change in radiation 31 amplitude. The requirements specifications are planned into the process monitoring program 126 as pre-stored parameters and algorithms. This formula can also include code to create a model of the radiation trajectory, and a feature can be selected from the modeled rail thorns or the operator can Select a feature, store the modeled trajectory or feature, detect a part of the input signal from the radiation detector 54, calculate the measurement signal relative to the stored trajectory or feature, and call the base The end instruction of the process steps performed on the material 20 or the properties of the material measured on the substrate 20 are displayed. In another embodiment, the process monitoring program 1 26 includes code for continuously analyzing the measurement amplitude trajectory of the reflected radiation, by moving a window near a terminal part of the trajectory and retreating in time, and in a pre-calculated algorithm It has signal strength and time. The settings of the window can be programmed to detect the valley and peak of the reflection intensity track, trigger the positive slope to detect the end point after the detection, or trigger the negative slope to detect the end point before the valley on the track. value. When the signal on the track becomes too steep and disappears or is removed by the predetermined window ("WINDOW OUT"), or when the signal becomes flat and enters the window ("WINDOW IN"), it conforms to the first rule Requirements. In addition, the windows are sequentially placed on the moving trajectory in order to generate a complete specification group, in order to determine the change of the measured signal on the timely trajectory as the end point of the process, such as the start or end of the process, changes in material properties, Or just miscellaneous on page 33. This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (Please read the precautions on the back before filling out this page) 510008 A7 B7 V. Description of the invention (only for information. Windows access or The direction of departure can also be set as a pre-entry specification < one share, this specification is used to control the process monitoring program 丨 26. The process monitoring program 丨 26 notifies the process reaction chamber program when a signal is detected to start or end the process Send a command to the controller 100 to change the process conditions of the processing substrate 20 in the reaction chamber. The controller 100 can be adjusted to control one or more gas supply devices 34, The gas excitation device 46 or the throttle 44 changes the process parameters related to the received signals in the reaction chamber 35. The data signals received by the controller 100 can be transmitted to the host computer 300 of the factory automation. The main computer of the factory automation 300 includes a main software program 302 for calculating information from each system 27, operating platform or reaction chamber 35, and for batches of substrates 20, or extended cycle time 'to统计 Identify process control parameters for statistics⑴ Process for processing substrate 20; (π) Properties on a single substrate 20 are changed in a statistical mode; (iii) Properties of a batch of substrates 20 are changed in a statistical mode. Software program 302 can use information to continuously perform process calculations or apply to control other process parameters. Appropriate main software programs include "wrkstreamtm. Software programs can be obtained from the aforementioned application materials company. Work waste can be further adjusted The automated host computer 300 provides a command signal to remove a specific substrate 20 from the manufacturing process. For example, the characteristics of the substrate 20 are insufficient or do not conform to the system. The determined range of values, or the process parameters deviate from the acceptable range; (ii) ending the process steps in a particular reaction chamber, or (Hi) adjusting the process conditions or parameters that determine the characteristics of the substrate 20 that are not suitable. The host computer 300 of factory automation can also provide instruction signals in response to the information calculated by the main software program 302 after the start or end of the process. Page 34 This paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) ( Please read the precautions on the back before filling out this page) Installation · -Order · Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs Employee Cooperatives 510008 A7 __ B7 V. Description of the invention () (Please read the precautions on the back before filling out this page) It was further found that the signal-to-noise ratio of reflected radiation can be improved by placing a window, in which the radiation detector 54 views the reflected light emitted away from the substrate 20 through this window, and this window is located in the wall 61 of the reaction chamber 35 (ReCess). Figure 10a shows a schematic cross-sectional view of the reaction chamber. The reaction chamber has a window 130 located on the recessed wall of the wall 5 of the reaction chamber 35, and a detector 54 to detect from the substrate 20 and pass through this window 13〇. Reflected radiation 'and generate a signal in response to the detected radiation, and a controller 100 monitors the process by calculating the detected signal. The window 13 includes at least a material that can be penetrated by a radiation wavelength, and the radiation wavelength is controlled by the controller 100. For infrared, visible and ultraviolet radiation, the window 13 can be made of ceramics, such as one or more of Al2O3, Si, Si02, Ti02, Zr02, or a mixture or compound thereof. The ceramic material may be a single crystal material, such as sapphire of single crystal alumina, which has better corrosion resistance to halogen plasma, especially fluorine-containing plasma. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. A wall 61 is formed on the wall 51 of the reaction room 35 to receive a curtain 1 40, as shown in FIG. 10. For example, when the cover i 4 is cylindrical, the embedded wall 61 can also be made cylindrical. The size of the cover 14 can cover the window ′, thereby reducing or avoiding the residues deposited by the process on the window 308. The cover can be made of anti-corrosive material to avoid process gas or plasma corrosion in the reaction chamber 35. The material for anti-plasma corrosion can be A1203, Si02, A1N BN, Si, SiC, Si3N4, Ti02, Zr〇2 or Is a mixture or compound thereof. The mask 140 includes at least one or more holes 145, as shown in FIG. 10b. The holes 145 are formed to reduce the deposition of process residues' and allow sufficient radiation to pass through to operate the controller 1000. For example, page 35 of this paper applies the Chinese National Standard (CNS) A4 specification (210X297mm) 51008 A7 B7 Five printed by the Intellectual Property Bureau of the Ministry of Economic Affairs, Consumer Cooperatives, and the invention description () Shape and size of hole 145 The incident and reflected radiation beams can be passed through for analysis of interference or ellipse, or the shape and size of the holes 1 4 5 can be used to monitor the spectral emission of the plasma for plasma emission analysis. It can be determined that by reducing the contact of the neutral gas species (usually the residual species) or by using highly energetic gas ions to etch the residue on the wall of the hole 145, the process residue can be reduced. Residual. Generally, before the type of energy gas reaches the inner surface of the embedded wall, such as the window on the embedded wall, the aspect ratio of the embedded wall, and the depth control the travel distance of the type of energy gas. Suitable holes 1 4 5 include an aspect ratio of at least about 0.25: 1, and the aspect ratio may also be less than about 1 2: 1. In one embodiment, the opening size of the holes 1 45 is from about 0.1 mm to 50 mm, and its depth is from about 0.5 to 500 mm. The mask 140 may also include a plurality of holes 145, such as a plurality of hexagonal holes or circular holes. The invention can also adjust the electromagnetic field source so that there is an electromagnetic field around the window 130. The electromagnetic field source includes an electric field source or a magnetic field source. The residue of the electromagnetic field source process applied on the wall 5 i is deposited on the window 1 30 of the embedded wall 51 of the reaction chamber. For example, in the embodiment shown in FIG. 10a, the electromagnetic field source includes a magnetic field source 195 to adjust the magnetic field near the part 5 of the wall, around the embedded wall 61, or across the window 130. The magnetic field source 195 includes a magnet 200 or an electromagnet (not labeled). The magnetic field source 195 is positioned on an adjacent recessed wall, wall, or window 13 to provide magnetic energy. For example, in one embodiment, the magnetic energy may be limited to the rear wall 6 1 or In the space near the window 130, magnetic energy can penetrate the reaction chamber 35 only through a short distance. In this embodiment, it is preferable that the magnetic field provided by the magnetic field source is concentrated through the embedded wall 61 or the window 130, as compared with the other parts of the reaction A35. Generally, the appropriate magnetic field strength is on page 36. The paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (please read the precautions on the back before filling this page). Installation · -Order · 510008 Wisdom of the Ministry of Economic Affairs Printed by A7 B7, Consumer Cooperative of the Property Bureau. Invention description () 10 Gauss to 10,000 Gauss, preferably 50 Gauss to 2000 Gauss. In fact, when selecting the magnetic field strength, you must follow the size of the window and the plasma ion. Energy and other factors. In the embodiment shown in FIG. 10a, the magnetic field source 195 includes a plurality of magnetic poles 200, which are located on the peripheral edge of the embedded wall on the wall and have opposite magnetic poles. In another embodiment shown in FIG. 11, the electromagnetic field source includes an electric field source 220 to provide electric energy to the wall 51, the embedded wall 61, or across the window 130 to maintain a fixed electric field. It can be determined that by removing the charged residues, the electric field can reduce the process residues to remain on the wall 51, the wall 61 or the window 130, or to drive the energetic gas to bombard the window to remove the process residues by etching. . The electric field source 220 may include an electrode 225, which is adjacent to or adjacent to the wall, or behind the wall 51. This electrode may also be near the embedded wall 61 or close to the window 130 to generate electricity. The electric energy can be adjusted so that the electric field component is parallel or perpendicular to the plane of the step wall 51 or the window 130. The size of the electrode 225 is sufficient to provide an electric field to cover the entire wall 5 i or the area of the window 130. The electrode 225 may also contain eddy current, and the full current induced by the electrode 225 can be reduced by reducing the shape and size of the slit. A voltage source 245 provides an electrode 225-DC, AC or RF bias voltage, from about 10 to 10,000 volts, and preferably from 20 volts to 4,000 volts. Figure 12 shows a graph of radiation attenuation over processing time for exposed windows 130, recessed windows 130, and recessed windows 130 with adjacent magnets 200. It can be seen that the radiation passes through a bare, non-embedded window, lacks an electromagnetic field source, and reaches the maximum acceptable attenuation, at least about 40. Page 37 This paper applies the Chinese National Standard (CNS) A4 specification (210X297 mm) (Note that the matters read then fill the back page) installed ·, \ eleven five invention is described in (h electrical loading process as compared with the branches of a tree <., the radiation through window 130 recessed to the maximum The accepted attenuation is approximately 100 ^ 2 & hours. The maximum acceptable attenuation of the radiation through the radiation ^ 〇 through a wall-mounted window 13 adjacent to the magnet 200 is approximately 0 hours. After the above information shows that in the plasma During the manufacturing process, the embedded 130 provides a reduction in the attenuation of the radiation intensity. Increasing the electromagnetic field source, using the 2G0 magnet as an example, can actually reduce the attenuation significantly. Examples The following examples are used to illustrate the multiple functions of the present invention. Those skilled in the art will appreciate the spirit of the present invention, and it is also suitable for other processes or other uses. The embodiments of the present invention are only used to help understand the implementation of the present invention, and are not intended to limit the essence of the present invention. First Embodiment In this embodiment, a feature 25 is etched on a substrate 20 in a reinforced magnet etching reaction chamber. The reaction chamber has a recessed window covered by a curtain, and a magnetic field is generated near the window. The device is shown in Figure 10a. The etched substrate 20 is a silicon wafer with a dielectric layer 22, where the dielectric layer 22 includes a lum silicon oxide layer, a ium silicon nitride layer, and a luni silicon oxide. Layer, a bottom patterned photoresist layer 21 covers the dielectric layer 22. The dielectric layer 22 is etched with a process gas such as CHF3 at 40sccm, CF4 at 20sccm, and Ar at 50sccm. The pressure in the reaction chamber is maintained at 20%. 〇mT〇rr, the RF bias power level of the production process is 1 300 watts, and the temperature of a part of the reaction chamber is maintained at 15C. The opening size of the etching feature 25 is about 0.4 to lum. The exposed dielectric on the broken wafer The area (silicon oxide) is about 5 to 50%. In this embodiment, two polarization angles are used to detect the 38th page of the substrate 20. This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) C) Please read the notes on the back before filling this page) --- I- --- Order · --------. Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 A7 Γ ----------- B7 V. Description of the Invention () (Please read the back first (Notes on this page, please fill in this page again) Reflected radiation, and the band-pass filter is used to calculate the signal generated by the radiation detector 54 The first and second radiation detectors 5 4 are used to detect the P-component and The S-component radiation incident on the substrate 20 includes at least radiation having a wavelength of 254 nm. A band-pass filter located in the radiation path can selectively adjust a radiation frequency having a band-pass range centered on the radiation frequency reflected from the substrate etching feature 25. Figure 13 shows the signal trajectory after polarization of radiation. The detected polarized radiation signal is scaled, and a band-pass filter is used to process the scaled signal for two cycles. The wavelength of the incident radiation is 254 nm. The ratio of the reflected radiation of the feature 25 to the resist material can be determined. A two-period band-pass filter is used to process the scaled signal trace. For a substrate 20 having a 50% open area, the predetermined depth is consistent with the measured remaining depth, which is about 0.46um. When the same test is performed on the substrate 20 having an opening area of 30%, the predetermined etching depth of 0.49um is slightly different from the measured etching depth of 0.50um. For the substrate 20 with an opening area of 20%, the predetermined etching depth is 0.46um, and the measured silver etching depth is 0.48um. These results show that the method and device according to the present invention have high accuracy. Second embodiment Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs In this embodiment, a feature 25 is etched on a substrate 20, which includes a first layer 22 having a first refractive index and a second refractive index Of the second layer 24. The etching process is performed in an enhanced magnet etching reaction chamber 35. This reaction chamber has an old wall window 61 covered by a curtain 140, and a magnetic field source 19 5 'near the window 61 as shown in FIG. 9. The substrate 20 is a silicon wafer with a dielectric layer. The 39th carbonized paper with a thickness of 500 angstroms from top to bottom is compliant with the Chinese National Standard (CNS) A4 specification (210 x 29 / mm) 510008 Ministry of Economic Affairs Printed by A7 B7, Consumer Cooperative of Intellectual Property Bureau. 5. Description of the invention. The dielectric layer 24 is silicon oxide formed by the deposition of TEOS (Si (〇 (CH3) 3)), its thickness is lum, and an alternative layer 22 It is a black diamond ™ with a thickness of 600 angstroms of carbon and hydrogen, and a sublayer patterned photoresist layer 21 covers the replacement layer 22. In the first etching step, the replacement layer 22 is etched using CF4 of 50 sccm of an energetic process gas. The pressure in the reaction chamber was maintained at 50 mTorr, the rF bias power level of the process electrode was 300 watts, and the temperature of part of the reaction chamber was maintained at 15 ° C. The wavelength intensity of the radiation emitted by the energetic process gas is determined by using a wavelength of 3 8 65 Angstroms to complete the first etching step. Figure 14a shows the observed intensity of the radiation wavelength as a function of time. In this figure, the intensity of the radiation wavelength continued to decrease for about 20 seconds. After this point, its intensity increased rapidly to show that the replacement layer 22 had been etched through, and the dielectric layer 24 was exposed. Then, in the second etching step, the dielectric layer 24 is etched using CF4 of 100 sccm and 30 sccm of N2 in an energetic process gas. The pressure of the reaction chamber was maintained at 200 mTorr, the rf bias power level of the process electrode was 800 watts, and the temperature of a part of the reaction chamber was maintained at 15. (:. Two polarization angles are used to detect the 20 reflection light shot of the substrate to determine the completion of the second etching step. These two polarization angles include a first angle perpendicular to the main direction of the etching feature on the substrate 20, And a second angle parallel to the main direction of the feature. The incident radiation on the substrate 20 has a wavelength of 254 nm. Figure 14b shows the signal trace of the substrate's reflected radiation after polarization, and the detected polarized radiation signal For scaling, use this signal as a reference, the end of the etching process is determined at 15 seconds, and the etching depth is 800 angstroms. Page 40 This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297) Mm) ------ II h I ---- (Please read the notes on the back before filling this page) tl --------- 01. 510008 A7

請 先 閱 讀 背Please read it first

面I 之1 注IFace I 1 Note I

意卜 事I 填▼ 寫裝 本衣Meaningful things I fill ▼ Writing clothes

頁I | 訂Page I | Order

Claims (1)

510008 A8510008 A8 經濟部智慧財產局員工消費合作社印制衣 ι 種基材處理裝置,至少包含: 一反應室,用於處理一基材; 一輻射源,用於提供一輻射; 輻射偏振器,用於使該輻射極化成具有一個或多 個偏振角度,而孩偏振角度係依據該基材上處理中特徵 之方向來作選取; 一輻射偵測器,以於處理過程中偵測來自該基材之 反射輻射,並且產生一第一訊號;以及 一控制器,用於處理該第一訊號。 2 ·如申請專利範圍第1項所述之基材處理裝置,其中該特 徵至少包含一主方向,以及該反射輻射可極化為一第一 偏振角度而平行該主方向,以及一第二偏振角度而垂直 於該主方向。 3.如申請專利範圍第1項所述之基材處理裝置,其中該控 制器用於處理該第一訊號,以增加該輻射之一訊號分量 的強度,此係相對於其他的訊號分量而言,其中該輻射 係反射自該基材上處理中的該特徵。 4 ·如申請專利範圍第1項所述之基材處理裝置,其中該控 制器可決定具有不同偏振角度之反射%射訊號分量的 比值或減乘積。 第42頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐ί ' ----------*—^^裂--------訂---------. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 510008 C8 _______D8__ 六、申請專利範圍 5·如中請專利範園第1项所述之基材處理裝置,其中該控 制器至少包含—帶通濾波器用於增加該輻射訊號分量 的強度,此係相對於其他的訊號分量而言,其中該輻射 係反射自該基材上處理中的該特徵。 6·如申請專利範圍第丨項所述之基材處理裝置,其中: 該基材至少包含第一層及第二層,其中該第二層為 於該第第一層下方; 該輻射源至少包含一能量化氣體於該反應室中,以 產生一輻射放射; 該輻射摘測器更可用於偵測該輻射放射之一個或多 個波長強度,並且可產生關於該波長強度之一第二訊 號;以及 該控制器更包含計算該第二訊號,以決定該輻射放 射之一個或多個波長強度的變化,該輻射放射係於蝕刻 該第一層時產生,以決定該第一層是否完成蝕刻。 7.如申请專利範圍第1項所述之基材處理裝置,其中: 该反應▲至少包含一基材支撐、一氣體供應裝置、 一氣體激能裝置以及排氣裝置;以及 該控制器用於處理該第一訊號’以彳貞測製程起始、 完成或後續終點訊號之屬性,該屬性至少包含一谷值或 ♦值,正斜率或負斜率之訊號;以及 當偵測出该訊號屬性時,該控制器可操作一個或多 第43頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------T--裳---- (請先閱讀背面之注意事項再填寫本頁) 訂—— # 經濟部智慧財產局員工消費合作社印製 川U08 A8 B8 C8 ______D8_______ 六、申請專利範圍 個之該基材支撐、該氣體供應装置、該氣體激能裝置以 及該排氣裝置,以改變一製程參數。 8 · —種在製程區域處理基材的方法,該處理基材的方法至 少包含下列步驟: (a) 在該製程區域提供一基材; (b) 設定製程參數,以利用一能量化氣體來處理該基 材; (c) 提供一輻射,該輻射被極化成具有一個或多個偏 振角度,而該偏振角度係依據該基材上處理中特徵之方 向來選取; (d) 偵測來自基材之反射輻射,並產生對應於該反射 輻射之一訊號;以及 (e) 處理該訊號。 9·如申請專利範圍第8項所述之方法,其中該步驟(c)中之 一個或多個偏振角度至少包含一第一偏振角度並平行 該特徵方向,以及一第二偏振角度並垂直於該特徵方 向0 10.如申請專利範圍第8項所述之方法,其中該步騾(6)至 少包含決定該特徵之深度。 11·如申請專利範圍第8項所述之方法,其中該步驟至 第44頁 本紙張尺度適用中國國家標準(CNS〉A4規格(21。X 297公震]' 一 -------- ---------r----------訂·-------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 510008 A8 B8 C8 D8 六、申請專利範圍 ^ 少包含增加該輻射之一訊號分量的強度,此係相對於其 他的訊號分量而言,其中該輻射係反射自該基材上處理 中的該特徵。 12·如申請專利範圍第8項所述之方法,其中該步驟(^至 少包含決定具有不同偏振角度之反射輻射訊號分量的 比值或減乘積。 1 3 ·如申請專利範圍第8項所述之方法,其中該步驟(c)至 y包含增加該輕射訊號分量的強度,此係相對於其他的 訊號分量而言,其中該輻射係反射自該基材上處理中的 該特徵,藉由過濾訊號以選擇一頻帶,此頻帶係關於該 韓射之強度調變頻率’其中該輕射係反射自該基材上處 理中的該特徵。 14.如申請專利範圍第8項所述之方法,其中該基材至少 包含第一層及第二層,其中該第二層為於該第第一層下 方,在該製程區域之該能量化氣體用於產生一輕射放 射,該處理基材的方法更包含: (1)該第一層的蚀刻完成與否係決定於⑴偵測該輻 射放射之一個或多個波長強度,(Π)可產生關於該波長 強度之一第一訊號,以及(iii)計算該第一訊號,以決定 該輻射放射之一個或多個波長強度的變動,該輻射放射 係於蝕刻該第二層時產生;以及 第45頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) r--裝--------訂 ------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 510008 六、申請專利範圍 (2)藉由該步驟(c)至該梦驟(e)來監視該第二層的蝕 刻深度。 15. 如申請專利範圍第8項所述之方法,其中該步騾(e)更 包含分析該訊號以偵測該訊號之一屬性,該屬性至少包 含一谷值或峰值,正斜率或負斜率之訊號,該處理基材 的方法更包含: 當偵測出該訊號屬性時,改變製程參數。 16. —種基材處理裝置,至少包含: 一製程反應室,至少包含一基材支撐、一氣體供應 裝置、一氣體激能裝置、排氣裝置以及一具有窗口凹陷 之牆壁,而該窗口上方設有一罩幕;以及 一製程監視系統,用於監視在製程反應室内所進行 之製程,此係透過牆壁上凹陷的窗口來進行之。 1 7 ·如申請專利範圍第1 6項所述之基材處理裝置,其中該 罩幕至少包含一個或多個孔洞’可調整該孔洞的尺寸以 降低沉積製程的殘留物,其中該孔洞的深寬比至少約為 0.25:1 〇 1 8 ·如申請專利範圍第1 6項所述之基材處理裝置,更包含 一電磁場源,以於該窗口附近保持一電磁場,其中該電 磁場源至少包含一電場源及一磁場源。 第46肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 510008A kind of substrate processing device for printing clothes of the consumer cooperative of employees of the Intellectual Property Bureau of the Ministry of Economic Affairs, including at least: a reaction chamber for processing a substrate; a radiation source for providing a radiation; a radiation polarizer for making the Radiation is polarized to have one or more polarization angles, and the polarization angle is selected according to the direction of the feature being processed on the substrate; a radiation detector to detect reflected radiation from the substrate during processing And generating a first signal; and a controller for processing the first signal. 2. The substrate processing device according to item 1 of the scope of patent application, wherein the feature includes at least a main direction, and the reflected radiation can be polarized to a first polarization angle parallel to the main direction, and a second polarization Angle is perpendicular to the main direction. 3. The substrate processing device according to item 1 of the scope of patent application, wherein the controller is configured to process the first signal to increase the intensity of one signal component of the radiation, which is relative to the other signal components, Wherein the radiation is reflected from the feature during processing on the substrate. 4 · The substrate processing device as described in item 1 of the scope of the patent application, wherein the controller can determine the ratio or subtraction product of the% reflection signal component with different polarization angles. Page 42 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) '---------- *-^^ Crack -------- Order --- ------. (Please read the precautions on the back before filling this page) Printed clothing by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 C8 _______D8__ VI. Scope of patent application In the substrate processing device, the controller includes at least a band-pass filter for increasing the intensity of the radiation signal component, which is relative to other signal components, in which the radiation is reflected from the substrate for processing. 6. The substrate processing device according to item 丨 of the patent application scope, wherein: the substrate includes at least a first layer and a second layer, wherein the second layer is below the first layer The radiation source contains at least an energized gas in the reaction chamber to generate a radiation emission; the radiation pick-up detector can be further used to detect one or more wavelength intensities of the radiation emission, and can generate information about the wavelength intensity One of the second signals; and the controller further includes calculating the The second signal is used to determine the change of one or more wavelength intensities of the radiation emitted, which is generated when the first layer is etched to determine whether the first layer has been etched. The substrate processing apparatus according to the above item, wherein: the reaction ▲ includes at least a substrate support, a gas supply device, a gas excitation device, and an exhaust device; and the controller is configured to process the first signal 'to 彳 彳Measure the attributes of the start, finish, or subsequent end signal of the manufacturing process. The attribute contains at least a valley or ♦ value, a signal with a positive slope or a negative slope; and when the signal property is detected, the controller can operate one or more Page 43 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) ---------- T--Shang ---- (Please read the precautions on the back before filling (This page) Order—— # Printed by Chuan U08 A8 B8 C8 ____D8_______ of the Intellectual Property Bureau of the Ministry of Economic Affairs' Consumer Consumption VI. The base material support, the gas supply device, the gas excitation device and the exhaust Device to change a process parameter. 8-A method for processing a substrate in a process area, the method for processing a substrate includes at least the following steps: (a) providing a substrate in the process area; (b) setting process parameters To treat the substrate with an energetic gas; (c) providing a radiation that is polarized to have one or more polarization angles, and the polarization angle is selected based on the direction of the feature being processed on the substrate (D) detecting reflected radiation from the substrate and generating a signal corresponding to the reflected radiation; and (e) processing the signal. 9. The method according to item 8 of the scope of patent application, wherein one or more of the polarization angles in step (c) includes at least a first polarization angle and is parallel to the characteristic direction, and a second polarization angle is perpendicular to The direction of the feature is 0 10. The method as described in item 8 of the scope of patent application, wherein the step (6) includes at least determining the depth of the feature. 11. The method as described in item 8 of the scope of patent application, wherein this step to page 44 applies the Chinese national standard (CNS> A4 specification (21. X 297 public shock)] '------- ---------- r ---------- Order · -------- (Please read the notes on the back before filling out this page) Employees of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by a consumer cooperative 51008 A8 B8 C8 D8 VI. Patent application scope ^ It does not include increasing the intensity of one signal component of the radiation, which is relative to the other signal components, where the radiation is reflected from the substrate during processing 12. The method as described in item 8 of the scope of patent application, wherein the step (^ at least includes determining the ratio or subtraction product of the reflected radiation signal components with different polarization angles. 1 3 · as the scope of patent application No. 8 The method according to item 5, wherein steps (c) to y include increasing the intensity of the light signal component, which is relative to other signal components, wherein the radiation is reflected from the feature in processing on the substrate , By filtering the signal to select a frequency band, this frequency band is about the strength of the Korean radio Modulation frequency ', wherein the light emission is reflected from the feature on the substrate. 14. The method according to item 8 of the patent application scope, wherein the substrate comprises at least a first layer and a second layer, wherein The second layer is below the first layer, and the energetic gas in the process area is used to generate a light emission. The method for processing the substrate further includes: (1) the etching of the first layer is completed and Whether it depends on the detection of one or more wavelength intensities of the radiation emission, (Π) can generate a first signal about the wavelength intensity, and (iii) calculate the first signal to determine one of the radiation emission. Or multiple wavelength intensities, the radiation is generated when the second layer is etched; and page 45 of this paper applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) r--pack-- ------ Order ------- (Please read the notes on the back before filling in this page) Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 510008 VI. Scope of patent application (2) By this step (C) to the dream step (e) to monitor the etching depth of the second layer 15. The method as described in item 8 of the scope of patent application, wherein step (e) further includes analyzing the signal to detect an attribute of the signal, the attribute includes at least a valley value or a peak value, a positive slope or a negative slope Signal, the method for processing a substrate further includes: changing a process parameter when the signal property is detected. 16. A substrate processing device including at least: a process reaction chamber including at least a substrate support, a A gas supply device, a gas excitation device, an exhaust device, and a wall with a window recess, and a window is provided above the window; and a process monitoring system for monitoring the process performed in the process reaction chamber, which is a system This is done through a recessed window in the wall. 1 7 · The substrate processing device according to item 16 of the scope of patent application, wherein the mask contains at least one or more holes. The size of the holes can be adjusted to reduce the residue of the deposition process, wherein the depth of the holes is The aspect ratio is at least about 0.25: 1 〇8. The substrate processing device described in item 16 of the patent application scope further includes an electromagnetic field source to maintain an electromagnetic field near the window, wherein the electromagnetic field source includes at least one Electric field source and a magnetic field source. The 46th paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) ----------- installation -------- order ------- -(Please read the notes on the back before filling this page) 510008 A8 B8 C8 D8 六、申請專利範圍 19. 一種基材處理裝置,至少包含: 一反應室,用於處理一基材; 一輻射源,用於提供一輻射; 一輻射偵測器,以於處理過程中偵測來自該基材之 反射輻射,並且產生一訊號;以及 一帶通濾波器,用於處理該訊號。 20. 如申請專利範圍第19項所述之基材處理裝置,其中讀 帶通濾波器用於增加該輻射訊號分量的強度,此係相對 於其他的訊號分量而言,其中該輕射係反射自該基材上 處理中的該特徵。 21. 如申請專利範圍第19項所述之基材處理裝置,其中該 帶通濾波器於使一頻帶之内之訊號頻率通過,此頻帶係 依據該輻射之強度調變頻率來作選取,其中該輻射係反 射自該基材上處理中的該特徵。 第47頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ----Ill — I I--^·-------^ ___— — — — — — (請先閱讀背面之注音Ρ事項再填寫本頁一 經濟部智慧財產局員工消費合作社印製A8 B8 C8 D8 Patent application scope 19. A substrate processing device, at least comprising: a reaction chamber for processing a substrate; a radiation source for providing a radiation; a radiation detector for processing During the process, the reflected radiation from the substrate is detected and a signal is generated; and a band-pass filter is used to process the signal. 20. The substrate processing device according to item 19 in the scope of the patent application, wherein the read bandpass filter is used to increase the intensity of the radiated signal component, which is relative to other signal components, in which the light emission system reflects from This feature in processing on the substrate. 21. The substrate processing device according to item 19 in the scope of the patent application, wherein the band-pass filter passes a signal frequency within a frequency band, and the frequency band is selected according to the intensity modulation frequency of the radiation, wherein The radiation is reflected from the feature in processing on the substrate. Page 47 This paper size applies Chinese National Standard (CNS) A4 specification (210 X 297 public love) ---- Ill — I I-^ · ------- ^ ___ — — — — — — ( Please read the note on the back before filling in this page. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs
TW090126215A 2000-10-23 2001-10-23 Monitoring substrate processing using reflected radiation TW510008B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/695,577 US6831742B1 (en) 2000-10-23 2000-10-23 Monitoring substrate processing using reflected radiation
US09/803,080 US6559942B2 (en) 2000-10-23 2001-03-08 Monitoring substrate processing with optical emission and polarized reflected radiation

Publications (1)

Publication Number Publication Date
TW510008B true TW510008B (en) 2002-11-11

Family

ID=27105602

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090126215A TW510008B (en) 2000-10-23 2001-10-23 Monitoring substrate processing using reflected radiation

Country Status (5)

Country Link
EP (1) EP1352415A2 (en)
JP (3) JP4098621B2 (en)
CN (1) CN100459027C (en)
TW (1) TW510008B (en)
WO (1) WO2002035586A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974628B2 (en) 2010-08-26 2015-03-10 Tokyo Electron Limited Plasma treatment device and optical monitor device

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7306696B2 (en) 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
JP4400406B2 (en) 2004-10-08 2010-01-20 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
FR2887072A1 (en) * 2005-06-08 2006-12-15 Alcatel Sa IMPROVED SPECTOGRAPHIC SYSTEM WITH PLASMA SOURCE
JP5149610B2 (en) * 2007-12-19 2013-02-20 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8135560B2 (en) 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
JP5559505B2 (en) * 2009-09-30 2014-07-23 東京エレクトロン株式会社 Plasma processing equipment
DE102014115708A1 (en) * 2014-10-29 2016-05-04 Aixtron Se Method for separating a carbon structure from a seed structure
US11515167B2 (en) 2019-02-01 2022-11-29 Hitachi High-Tech Corporation Plasma etching method and plasma processing apparatus
CN113287190B (en) * 2019-12-20 2023-12-22 株式会社日立高新技术 Plasma processing apparatus and wafer processing method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4927485A (en) * 1988-07-28 1990-05-22 Applied Materials, Inc. Laser interferometer system for monitoring and controlling IC processing
WO1994025977A1 (en) * 1993-04-28 1994-11-10 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JPH0997783A (en) * 1995-09-28 1997-04-08 Nec Corp Plasma processing device
JPH09126991A (en) * 1995-10-27 1997-05-16 Hitachi Ltd Spectral detection method and its device and ultra-fine machining method and device used for it
JPH10239028A (en) * 1997-02-27 1998-09-11 Toshiba Corp Etching depth measuring method and its device
JPH10294305A (en) * 1997-04-18 1998-11-04 Hitachi Ltd Production of semiconductor and semiconductor device
JPH1167732A (en) * 1997-08-22 1999-03-09 Matsushita Electron Corp Monitoring method of plasma process and monitoring apparatus
US6060328A (en) * 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000012527A (en) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd Method and apparatus for determining etching end point
AU4790499A (en) * 1998-07-11 2000-02-01 Surface Technology Systems Limited Improved process monitor
KR100275671B1 (en) * 1998-08-26 2001-02-01 윤종용 Plasma etching equipment
JP3383236B2 (en) * 1998-12-01 2003-03-04 株式会社日立製作所 Etching end point determining method and etching end point determining apparatus
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974628B2 (en) 2010-08-26 2015-03-10 Tokyo Electron Limited Plasma treatment device and optical monitor device

Also Published As

Publication number Publication date
EP1352415A2 (en) 2003-10-15
JP4841507B2 (en) 2011-12-21
JP2004518272A (en) 2004-06-17
JP4098621B2 (en) 2008-06-11
JP2007294987A (en) 2007-11-08
CN100459027C (en) 2009-02-04
JP2007329485A (en) 2007-12-20
WO2002035586A3 (en) 2003-08-07
CN1636261A (en) 2005-07-06
WO2002035586A2 (en) 2002-05-02

Similar Documents

Publication Publication Date Title
CN101165867B (en) Monitoring substrate processing using reflected radiation
JP4841507B2 (en) Apparatus and method for processing a substrate
EP1416247B1 (en) Interferometric endpoint determination in a substrate etching process
TW418423B (en) Chamber having improved process monitoring window
TWI252260B (en) Etching a substrate in a process zone
US6824813B1 (en) Substrate monitoring method and apparatus
TW535219B (en) Detecting a process endpoint from a change in reflectivity
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
WO2004032209A2 (en) Method of etching shaped features on a substrate
JP2002541663A (en) End point detection of electronic device manufacturing
CN107546094B (en) Monitor the plasma processing apparatus and method of plasma process processing procedure
KR20010052595A (en) Endpoint detection in the fabrication of electronic devices

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent