KR102518811B1 - 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법 - Google Patents

멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법 Download PDF

Info

Publication number
KR102518811B1
KR102518811B1 KR1020180072863A KR20180072863A KR102518811B1 KR 102518811 B1 KR102518811 B1 KR 102518811B1 KR 1020180072863 A KR1020180072863 A KR 1020180072863A KR 20180072863 A KR20180072863 A KR 20180072863A KR 102518811 B1 KR102518811 B1 KR 102518811B1
Authority
KR
South Korea
Prior art keywords
selection
gate line
signal
selection transistor
region
Prior art date
Application number
KR1020180072863A
Other languages
English (en)
Other versions
KR20200000710A (ko
Inventor
이대성
김아름
김민수
유종규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180072863A priority Critical patent/KR102518811B1/ko
Priority to US16/250,000 priority patent/US10957683B2/en
Priority to CN201910331450.1A priority patent/CN110634857A/zh
Publication of KR20200000710A publication Critical patent/KR20200000710A/ko
Application granted granted Critical
Publication of KR102518811B1 publication Critical patent/KR102518811B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

집적 회로는, 반도체 기판, 상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일, 상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인 및 상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함한다. 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소한다.

Description

멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법{Integrated circuit including multi-height standard cell and method of designing the same}
본 발명은 반도체 집적 회로에 관한 것으로서, 더욱 상세하게는 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법에 관한 것이다.
일반적으로 집적 회로의 설계를 위하여 스탠다드 셀(standard cell)들이 이용될 수 있다. 스탠다드 셀들은 미리 결정된 아키텍쳐를 가진 셀들이고 이러한 스탠다드 셀들은 셀 라이브러리에 저장된다. 집적 회로의 설계시 스탠다드 셀들은 셀 라이브러리로부터 추출되어 집적 회로의 레이아웃 상의 적절한 위치들에 배치된다. 이후 배치된 스탠다드 셀들을 전기적으로 서로 연결하는 라우팅이 수행된다. 스탠다드 셀들은 미리 결정된 아키텍쳐를 가진 셀들이고 이러한 스탠다드 셀들을 이용하여 집적 회로를 설계한다. 스탠다드 셀들의 구성 또는 레이아웃에 따라서 집적 회로의 설계 효율이 결정될 수 있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 일 목적은, 감소된 면적을 갖는 집적 회로 및 그 설계 방법을 제공하는 것이다.
상기 일 목적을 달성하기 위해, 본 발명의 실시예들에 따른 집적 회로는, 반도체 기판, 상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일, 상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인 및 상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함한다.
상기 일 목적을 달성하기 위해, 본 발명의 실시예들에 따른 집적 회로는, 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 제1 출력 노드를 풀업시키는 제1 선택 트랜지스터, 제1 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제2 선택 트랜지스터, 상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀업시키는 제3 선택 트랜지스터, 상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제4 선택 트랜지스터, 상기 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 제2 출력 노드를 풀업시키는 제5 선택 트랜지스터, 상기 제1 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제6 선택 트랜지스터, 상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀업시키는 제7 선택 트랜지스터, 상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제8 선택 트랜지스터, 상기 제2 선택 트랜지스터 및 상기 제6 선택 트랜지스터의 게이트 전극들을 형성하는 제1 선택 게이트 라인, 상기 제1 선택 트랜지스터, 상기 제4 선택 트랜지스터, 상기 제5 선택 트랜지스터 및 상기 제8 선택 트랜지스터의 게이트 전극들을 형성하는 제2 선택 게이트 라인, 상기 제3 선택 트랜지스터 및 상기 제7 선택 트랜지스터의 게이트 전극들을 형성하는 제3 선택 게이트 라인 및 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함한다.
상기 일 목적을 달성하기 위해, 본 발명의 실시예들에 따른 집적 회로의 설계 방법은, 집적 회로를 정의하는 입력 데이터를 수신하는 단계, 스탠다드 셀 라이브러리(standard cell library)에 포함되는 복수의 스탠다드 셀들의 일부로서 적어도 하나의 멀티-하이트 스탠다드 셀을 제공하는 단계, 상기 입력 데이터 및 상기 스탠다드 셀 라이브러리에 기초하여 배치 및 라우팅(placement and routing)을 수행하는 단계 및 상기 배치 및 라우팅의 결과에 기초하여 상기 집적 회로를 정의하는 출력 데이터를 생성하는 단계를 포함한다.
본 발명의 실시예들에 따른 집적 회로 및 집적 회로의 설계 방법은, 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소하고 성능을 향상시킬 수 있다.
도 1은 본 발명의 실시예들에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 2는 도 2의 레이아웃을 적용할 수 있는 집적 회로의 일 실시예를 나타내는 도면이다.
도 3은 스탠다드 셀의 레이아웃의 일 예를 나타내는 도면이다.
도 4a, 4b 및 4c는 도 5의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다.
도 5는 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 6은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 일 실시예를 나타내는 도면이다.
도 7a, 7b, 7c, 7d 및 7e는 도 6의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다.
도 8은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 일 실시예를 나타내는 도면이다.
도 9a 및 9b는 도 8의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다.
도 10 및 11은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 실시예들을 나타내는 도면들이다.
도 12 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 13 및 14는 도 12의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 실시예들을 나타내는 도면들이다.
도 15는 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 16은 본 발명의 실시예들에 따른 집적 회로의 설계 방법을 나타내는 순서도이다.
도 17은 본 발명의 실시예들에 따른 집적 회로의 설계 시스템의 블록도이다.
도 18은 도 17의 설계 시스템의 동작의 일 실시예를 나타내는 순서도이다.
도 19는 본 발명의 일 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 20은 본 발명의 실시예들에 따른 모바일 장치를 나타내는 블록도이다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고 동일한 구성요소에 대해서 중복된 설명은 생략한다. 3차원상에서 서로 수직하는 제1 방향(X), 제2 방향(Y) 및 제3 방향(Z)을 이용하여 본 발명의 실시예들에 따른 집적 회로의 구조를 설명한다. 제1 방향(X)은 행 방향에 해당하고, 제2 방향(Y)은 열 방향에 해당하고, 제3 방향(Z)은 수직 방향에 해당할 수 있다.
도 1은 본 발명의 실시예들에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 1을 참조하면, 집적 회로(200)는 제1 파워 레일(PR1), 제2 파워 레일(PR2), 제3 파워 레일(PR3), 제1 선택 게이트 라인(GL1), 제2 선택 게이트 라인(GL2), 제3 선택 게이트 라인(GL3) 및 행 연결 배선(RCW)을 포함할 수 있다.
제1 파워 레일(PR1), 제2 파워 레일(PR2) 및 제3 파워 레일(PR3)은 반도체 기판의 상부에서 제1 방향(X)으로 신장되어 형성되고 제1 방향(X)과 수직한 제2 방향(Y)으로 순차적으로 이격하여 배열될 수 있다.
제1 선택 게이트 라인(GL1), 제2 선택 게이트 라인(GL2) 및 제3 선택 게이트 라인(GL3)은 상기 반도체 기판의 상부에서 제1 파워 레일(PR1)과 제2 파워 레일(PR2) 사이의 제1 영역(RG1) 및 제2 파워 레일(PR2)과 제3 파워 레일(PR3) 사이의 제2 영역(RG2)에 걸쳐 제2 방향(Y)으로 신장되어 형성되고 제1 방향(X)으로 순차적으로 이격하여 배열될 수 있다.
행 연결 배선(RCW)은 상기 반도체 기판의 상부에서 제1 방향(X)으로 신장되어 형성되고 제1 선택 게이트 라인(GL1) 및 제3 선택 게이트 라인(GL3)을 전기적으로 연결할 수 있다. 행 연결 배선(RCW)은 게이트 라인들보다 상부의 금속층에 형성될 수 있으며 수직 콘택들(VC1, VC2)을 통하여 제1 선택 게이트 라인(GL1) 및 제3 선택 게이트 라인(GL3)에 전기적으로 연결될 수 있다.
이와 같은 게이트 라인들(GL1, GL2, GL3)과 연결 배선(RCW)의 라우팅을 통하여 선택 신호들(A, B)이 인가되는 선택 노드들이 형성될 수 있다. 즉 제1 선택 게이트 라인(GL1), 제3 선택 게이트 라인(GL3) 및 행 연결 배선(RCW)은 제1 선택 신호(A)가 인가되는 제1 선택 노드를 형성하고, 제2 선택 게이트 라인(GL2)은 제2 선택 신호(B)가 인가되는 제2 선택 노드를 형성할 수 있다.
도 1에는 제1, 제2 및 제3 선택 게이트 라인들(GL1, GL2, GL3)이 제2 방향(Y)으로 인접하여 배치되는 2개의 영역들(RG1, RG2)에 걸쳐 형성되는 더블-하이트(double-height) 스탠다드 셀의 레이아웃이 도시되어 있으나, 본 발명의 실시예들이 이에 한정되는 것은 아니다. 즉, 본 발명의 실시예들은 제1, 제2 및 제3 선택 게이트 라인들(GL1, GL2, GL3)이 제2 방향(Y)으로 인접하여 배치되는 3개 이상의 영역들에 걸쳐 형성되는 멀티-하이트(multi-height) 스탠다드 셀의 레이아웃에 적용될 수 있다.
이와 같은 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소하고 성능을 향상시킬 수 있다.
도 2는 도 2의 레이아웃을 적용할 수 있는 집적 회로의 일 실시예를 나타내는 도면이다.
도 2를 참조하면, 집적 회로(201)는 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)를 포함할 수 있다. 제1 멀티플렉서(MX1)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제1 입력 신호(C1) 및 제2 입력 신호(D1) 중 하나를 선택하여 제1 출력 신호(Y1)를 발생할 수 있다. 제2 멀티플렉서(MX2)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제3 입력 신호(C2) 및 제4 입력 신호(D2) 중 하나를 선택하여 제2 출력 신호(Y2)를 발생할 수 있다.
도 1 및 2를 참조하면, 제1 멀티플렉서(MX1)는 제1 파워 레일(PR1)과 제2 파워 레일(PR2) 사이의 제1 영역(RG1)에 형성될 수 있고, 제2 멀티플렉서(MX2)는 제2 파워 레일(PR2)과 제3 파워 레일(PR3) 사이의 제2 영역(RG2)에 형성될 수 있다. 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)는 제1 선택 신호(A) 및 제2 선택 신호(B)의 각각을 공통으로 수신한다. 제1 선택 신호(A)는 제1 선택 게이트 라인(GL1), 제3 선택 게이트 라인(GL3) 및 행 연결 배선(RCW)을 포함하여 형성되는 제1 선택 노드를 통하여 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)에 공통으로 인가될 수 있다. 제2 선택 신호(B)는 제2 선택 게이트 라인(GL2)를 포함하여 형성되는 제2 선택 노드를 통하여 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)에 공통으로 인가될 수 있다.
이하, 본 발명의 실시예들에 따른 집적 회로의 레이아웃의 이해를 돕기 위하여 도 3, 4a, 4b 및 4c를 참조하여 스탠다드 셀의 구조에 대하여 먼저 설명한다.
도 3은 스탠다드 셀의 레이아웃의 일 예를 나타내는 도면이고, 도 4a, 4b 및 4c는 도 3의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다.
도 4a, 4b 및 4c는 핀펫(FinFET, Fin Field Effect Transistor) 소자를 포함하는 스탠다드 셀의 일부 구성을 예시한 것이다. 도 4a는 도 3의 A-A' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 4b는 도 3의 B-B' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 4c는 도 3의 C-C' 선의 단면에 대응하는 구성을 예시한 단면도이다.
도 3, 4a, 4b 및 4c를 참조하면, 스탠다드 셀은 수평 방향, 즉 제1 방향(X) 및 제2 방향(Y)으로 연장되는 상면(110A)을 가지는 기판(110)에 형성된다.
일부 실시예들에서, 기판(110)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 다른 실시예들에서, 기판(110)은 SOI (silicon on insulator) 구조를 가질 수 있다. 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰(well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
스탠다드 셀은 기판(110)으로부터 돌출된 복수의 핀(fin)들 또는 핀형(fin-type) 활성 영역(AC)이 형성되어 있는 제1 소자 영역(RX1) 및 제2 소자 영역(RX2)과 이를 분리하는 액티브 컷 영역(ACR)을 포함한다.
복수의 활성 영역(AC)은 제1 방향(X)을 따라 상호 평행하게 연장되어 있다. 기판(110)상에서 복수의 활성 영역(AC) 각각의 사이에는 소자분리막(112)이 형성되어 있다. 복수의 활성 영역(AC)은 소자분리막(112) 위로 핀(fin) 형상으로 돌출되어 있다.
기판(110)상에는 게이트 절연막(118) 및 복수의 게이트 라인들(PC)(11, 12, 13, 14, 15, 16)이 형성되고, 복수의 게이트 라인들(PC)은 복수의 활성 영역(AC)과 교차하는 제2 방향(Y)으로 연장되어 있다. 게이트 절연막(118) 및 복수의 게이트 라인들(PC)은 복수의 활성 영역(AC) 각각의 상면 및 양 측벽과 소자분리막(112)의 상면을 덮으면서 연장된다. 복수의 게이트 라인(PC)을 따라 복수의 MOS 트랜지스터가 형성될 수 있다. 상기 복수의 MOS 트랜지스터는 각각 복수의 활성 영역(AC)의 상면 및 양 측벽에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터로 이루어질 수 있다.
게이트 절연막(118)은 실리콘 산화막, 고유전막, 또는 이들의 조합으로 이루어질 수 있다. 상기 고유전막은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 상기 게이트 절연막(118)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 게이트 절연막(118)은 ALD (atomic layer deposition), CVD (chemical vapor deposition), 또는 PVD (physical vapor deposition) 공정에 의해 형성될 수 있다.
복수의 게이트 라인들(PC)은 게이트 절연막(118) 위에서 복수의 활성 영역(AC) 각각의 상면 및 양 측면을 덮으면서 복수의 활성 영역(AC)과 교차하여 연장된다. 게이트 라인(PC) 위에는 게이트 마스크(122)가 형성될 수 있다. 게이트 절연막(118), 게이트 라인(PC) 및 게이트 마스크(122)의 조합을 게이트 구조물이라 칭할 수 있다. 실시예들에 따라서, 게이트 마스크(122)는 생략될 수 있고, 게이트 라인(PC)을 상부의 구조물과 연결하기 위한 도전성 콘택이 형성되는 부분에서만 게이트 마스크(122)가 제거될 수 있다.
일부 실시예들에서, 상기 게이트 라인은 금속 질화물층, 금속층, 도전성 캡핑층, 및 갭필 (gap-fill) 금속막이 차례로 적층된 구조를 가질 수 있다. 상기 금속 질화물층 및 금속층은 각각 Ti, Ta, W, Ru, Nb, Mo, 또는 Hf 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 상기 금속층 및 금속 질화물층은 각각 ALD, MOALD (metal organic ALD), 또는 MOCVD (metal organic CVD) 공정에 의해 형성될 수 있다. 상기 도전성 캡핑층은 상기 금속층의 표면이 산화되는 것을 방지하는 보호막 역할을 할 수 있다. 또한, 상기 도전성 캡핑층은 상기 금속층 위에 다른 도전층이 증착될 때 증착을 용이하게 하기 위한 접착층 (wetting layer) 역할을 할 수 있다. 상기 도전성 캡핑층은 금속 질화물, 예를 들면 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 상기 갭필 금속막은 상기 복수의 활성 영역(AC) 사이의 공간을 채우면서 상기 도전성 캡핑층 위에 연장될 수 있다. 상기 갭필 금속막은 W 막으로 이루어질 수 있다. 상기 갭필 금속막은 ALD, CVD, 또는 PVD 공정에 의해 형성될 수 있다. 상기 갭필 금속막은 상기 복수의 활성 영역(AC) 사이의 공간 내에서 상기 도전성 캡핑층의 상면에서의 단차부에 의해 형성되는 리세스 공간을 보이드 (void) 없이 매립할 수 있다.
기판(110) 위의 제1 레이어(LY1)에는 복수의 도전성 콘택들(CA, CB)이 형성되어 있다. 도전성 콘택들(CA, CB)은 복수의 활성 영역(AC) 중 소스/드레인 영역(116)에 연결된 복수의 제1 콘택들(CA)(21, 22, 23, 24, 25, 31, 32, 33, 34, 35)과, 복수의 게이트 라인(11, 12, 13, 14, 15, 16)에 연결된 복수의 제2 콘택들(CB)(41, 42, 43)을 포함한다.
복수의 도전성 콘택들(CA, CB)은 복수의 활성 영역(AC) 및 게이트 라인들(PC)을 덮는 제1 층간절연막(132)에 의해 상호 절연될 수 있다. 복수의 도전성 콘택들(CA, CB)은 제1 층간절연막(132)의 상면과 동일 레벨의 상면을 가질 수 있다. 제1 층간절연막(132)은 실리콘 산화막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
제1 층간절연막(132) 위에는 제2 층간절연막(134)과, 제2 층간절연막(134)을 관통하는 복수의 하부 비아 콘택들(V0)(51, 52, 53, 54, 55, 56, 57, 58, 59, 60. 61. 62)이 형성되어 있다. 제2 층간절연막(134)은 실리콘 산화막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
제2 층간절연막(134) 위에는 제1 레이어(LY1)보다 높은 제2 레이어(LY2)에서 수평 방향으로 연장되는 복수의 배선들(M1)(71, 72, 73, 74, 75, 76, 77, 78)이 형성되어 있다.
복수의 배선들(M1)은 제1 레이어(LY1)와 제2 레이어(LY2)의 사이에 형성된 복수의 하부 비아 콘택들(V0) 중 어느 하나의 하부 비아 콘택(V0)을 통해 복수의 도전성 콘택(CA, CB) 중에서 선택되는 어느 하나의 콘택, 즉 제1 콘택(CA) 또는 제2 콘택(CB)에 연결될 수 있다. 복수의 하부 비아 콘택들(V0)은 제2 층간절연막(134)을 관통하여 복수의 도전성 콘택들(CA, CB) 중 어느 하나, 예를 들면 제1 콘택(CA) 또는 제2 콘택(CB)에 연결될 수 있다. 제2 층간절연막(134)에 의해 복수의 하부 비아 콘택(V0)이 상호 절연될 수 있다.
복수의 배선들(71~78)은 스탠다드 셀 내의 복수의 지점들을 전기적으로 연결하는 내부 연결 배선을 포함할 수 있다. 예를 들어, 도 5에 도시된 내부 연결 배선(78)은 하부 비아 콘택들(55, 58)과 제1 콘택들(24, 33)을 통하여 제1 소자 영역(RX1)의 활성 영역과 제2 소자 영역(RX2)의 활성 영역을 전기적으로 연결할 수 있다.
제1 소자 영역(RX1)에 있는 활성 영역(AC)에 제1 파워 레일(71)이 연결되고, 제2 소자 영역(RX2)에 있는 활성 영역(AC)에 제2 파워 레일(72)이 연결될 수 있다. 제1 파워 레일(71) 및 제2 파워 레일(72) 중 하나는 전원 전압을 공급하기 위한 배선이고 다른 하나는 접지 전압을 공급하기 위한 배선일 수 있다.
제1 파워 레일(71) 및 제2 파워 레일(72)은 각각 제2 레이어(LY2)상에서 상호 평행하게 제1 방향(X)으로 연장될 수 있다. 일부 실시예들에서, 제1 파워 레일(71) 및 제2 파워 레일(72)은 다른 배선들(73~78)과 동시에 형성될 수 있다. 복수의 배선들(M1)은 각각 제3 층간절연막(136)을 관통하도록 형성될 수 있다. 제3 층간절연막(136)에 의해 복수의 배선들(M1)이 상호 절연될 수 있다.
제1 파워 레일(71) 및 제2 파워 레일(72) 사이의 제2 방향(Y)의 거리에 따라서 스탠다드 셀의 셀 높이(CH)가 정의될 수 있다. 또한, 제1 파워 레일(71) 및 제2 파워 레일(72)과 평행한 제1 방향(X)을 따라 스탠다드 셀의 셀 폭(CW)이 정의될 수 있다.
복수의 배선들(M1)들은 팁 대 사이드(T2S, tip-to-side) 제약, 제약 등에 따른 최소 스페이스 룰(minimum spacing rule)을 만족해야 한다. 이러한 제약으로 인하여 배선들(M1)의 크기 및 배치가 제한될 수 있다.
복수의 하부 비아 콘택(V0), 복수의 배선들(M1)은 각각 배리어막과 배선용 도전층과의 적층 구조를 가질 수 있다. 상기 배리어막은 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있다. 상기 배선용 도전층은 W, Cu, 이들의 합금, 또는 이들의 조합으로 이루어질 수 있다. 복수의 배선들(M1) 및 복수의 하부 비아 콘택들(V0)을 형성하기 위하여 CVD, ALD, 또는 전기도금 공정을 이용할 수 있다.
본 발명의 실시예들에 따른 집적 회로는 이와 같이 형성되는 다양한 스탠다드 셀들의 각각 또는 이들의 조합에 상응할 수 있다.
이하 설명 및 도시의 편의를 위하여, 신호 및 상기 신호의 노드는 동일한 참조부호를 사용할 수 있다. 예를 들어, A는 제1 선택 신호를 나타낼 수도 있고, 제1 선택 신호가 인가되는 제1 선택 노드를 나타낼 수 있다.
도 5는 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 5를 참조하면, 집적 회로(202)는 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)를 포함할 수 있다. 제1 멀티플렉서(MX1)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제1 입력 신호(C1) 및 제2 입력 신호(D1) 중 하나를 선택하여 제1 출력 신호(Y1)를 발생할 수 있다. 제2 멀티플렉서(MX2)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제3 입력 신호(C2) 및 제4 입력 신호(D2) 중 하나를 선택하여 제2 출력 신호(Y2)를 발생할 수 있다.
제1 멀티플렉서(MX1)는 제1 입력 트랜지스터(MP1), 제2 입력 트랜지스터(MN1), 제3 입력 트랜지스터(MP3), 제4 입력 트랜지스터(MN3), 제1 선택 트랜지스터(MP2), 제2 선택 트랜지스터(MN2), 제3 선택 트랜지스터(MP4) 및 제4 선택 트랜지스터(MN4)를 포함할 수 있다.
제1 선택 트랜지스터(MP2)는 제2 선택 신호(B)의 반전된 전압 레벨에 게이팅되어 제1 출력 신호(Y1)를 발생하는 제1 출력 노드(Y1)를 풀업시킨다. 제2 선택 트랜지스터(MN2)는 제1 선택 신호(A)의 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀다운시킨다. 제3 선택 트랜지스터(MP4)는 제1 선택 신호(A)의 반전된 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀업시킨다. 제4 선택 트랜지스터(MN4)는 제2 선택 신호(B)의 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀다운시킨다.
제1 입력 트랜지스터(MP1)는 제1 입력 신호(C1)의 반전된 전압 레벨에 게이팅되어 제1 선택 트랜지스터(MP2)를 전원 전압(VDD)과 연결한다. 제2 입력 트랜지스터(MN1)는 제1 입력 신호(C1)의 전압 레벨에 게이팅되어 제2 선택 트랜지스터(MN2)를 접지 전압(VSS)에 연결한다. 제3 입력 트랜지스터(MP3)는 제2 입력 신호(D1)의 반전된 전압 레벨에 게이팅되어 제3 선택 트랜지스터(MP4)를 전원 전압(VDD)과 연결한다. 제4 입력 트랜지스터(MN3)는 제2 입력 신호(D1)의 전압 레벨에 게이팅되어 제4 선택 트랜지스터(MN4)를 접지 전압(VSS)에 연결한다.
제2 멀티플렉서(MX2)는 제5 입력 트랜지스터(MP5), 제6 입력 트랜지스터(MN5), 제7 입력 트랜지스터(MP7), 제8 입력 트랜지스터(MN7), 제5 선택 트랜지스터(MP6), 제6 선택 트랜지스터(MN6), 제7 선택 트랜지스터(MP8) 및 제8 선택 트랜지스터(MN8)를 포함할 수 있다.
제5 선택 트랜지스터(MP6)는 제2 선택 신호(B)의 반전된 전압 레벨에 게이팅되어 제2 출력 신호(Y2)를 발생하는 제2 출력 노드(Y2)를 풀업시킨다. 제6 선택 트랜지스터(MN6)는 제1 선택 신호(A)의 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀다운시킨다. 제7 선택 트랜지스터(MP8)는 제1 선택 신호(A)의 반전된 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀업시킨다. 제8 선택 트랜지스터(MN8)는 제2 선택 신호(B)의 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀다운시킨다.
제5 입력 트랜지스터(MP5)는 제3 입력 신호(C2)의 반전된 전압 레벨에 게이팅되어 제5 선택 트랜지스터(MP6)를 전원 전압(VDD)과 연결한다. 제6 입력 트랜지스터(MN5)는 제3 입력 신호(C2)의 전압 레벨에 게이팅되어 제6 선택 트랜지스터(MN6)를 접지 전압(VSS)에 연결한다. 제7 입력 트랜지스터(MP7)는 제4 입력 신호(D2)의 반전된 전압 레벨에 게이팅되어 제7 선택 트랜지스터(MP8)를 전원 전압(VDD)과 연결한다. 제8 입력 트랜지스터(MN7)는 제4 입력 신호(D2)의 전압 레벨에 게이팅되어 제8 선택 트랜지스터(MN8)를 접지 전압(VSS)에 연결한다.
도 6은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 일 실시예를 나타내는 도면이고, 도 7a, 7b, 7c, 7d 및 7e는 도 6의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다. 도 7a는 도 6의 D-D' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 7b는 도 6의 E-E' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 7c는 도 6의 F-F' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 7d는 도 6의 G-G' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 7e는 도 6의 H-H' 선의 단면에 대응하는 구성을 예시한 단면도이다. 이하, 도 3, 4a, 4b 및 4c와 중복되는 설명은 생략될 수 있다.
도 5, 6, 7a, 7b, 7c, 7d 및 7e를 참조하면, 스탠다드 셀은 기판(110)에 형성되고, 제1 파워 레일(271), 제2 파워 레일(272), 제3 파워 레일(273), 제1 선택 게이트 라인(213), 제2 선택 게이트 라인(214), 제3 선택 게이트 라인(215), 제1 입력 게이트 라인(212), 제2 입력 게이트 라인(216), 더미 게이트 라인들(211, 217), 제1 금속층(M1)에 형성되는 배선들(274~279), 제2 금속층(M2)에 형성되는 행 연결 배선(291) 및 열 연결 배선(292)을 포함할 수 있다.
도전성 콘택들(CA, CB)과 제1 금속층(M1)에 형성되는 배선들은 하부 비아 콘택들(V0)을 통하여 전기적으로 연결될 수 있고, 제1 금속층(M1)에 형성되는 배선들과 제2 금속층(M2)에 형성되는 배선들을 상부 비아 콘택들(V1)을 통하여 전기적으로 연결될 수 있다. 제1 금속층(M1)은 최하위의 금속층일 수도 있고, 제1 금속층(M1)의 하부에 다른 금속층이 포함될 수도 있다. 제1 금속층(M1) 및 제2 금속층(M2)은 제3 방향(X)으로 연속하는 금속층들일 수도 있고, 제1 금속층(M1)과 제2 금속층(M2) 사이에 다른 금속층이 포함될 수도 있다. 도 6, 7a, 7b, 7c, 7d 및 7e에 도시된 바와 같이 파워 레일들(271, 272, 273)은 제1 금속층(M1)에 형성될 수 있으나, 이에 한정되는 것은 아니다.
게이트 라인들(211~217)의 적어도 일부는 게이트 컷 영역(CT)에 의해 분리되어 하나의 게이트 라인은 복수의 게이트 세그먼트들을 포함할 수 있다. 예를 들어, 제1 선택 게이트 라인(213)은 제1 게이트 세그먼트(213a)와 제2 게이트 세그먼트(213b)를 포함할 수 있고, 제3 선택 게이트 라인(215)은 제1 게이트 세그먼트(215a)와 제2 게이트 세그먼트(215b)를 포함할 수 있다.
제1 파워 레일(271)과 제2 파워 레일(272) 사이의 제1 영역(RG1)에는 제1 내지 제4 입력 트랜지스터들(MP1, MN1, MP3, MN3)과 제1 내지 제4 선택 트랜지스터들(MP2, MN2, MP4, MN4)을 포함하는 제1 멀티플렉서(MX1)가 형성되고, 제2 파워 레일(272)과 제3 파워 레일(273) 사이의 제2 영역(RG2)에는 제5 내지 제8 입력 트랜지스터들(MP5, MN5, MP7, MN7)과 제5 내지 제8 선택 트랜지스터들(MP6, MN6, MP8, MN8)을 포함하는 제2 멀티플렉서(MX2)가 형성될 수 있다. 이와 같이, 도 6의 스탠다드 셀은 통상의 스탠다드 셀의 셀 높이(CH)보다 2배의 셀 높이(2CH)를 가지므로 더블-하이트 스탠다드 셀(double-height)이라 칭할 수 있으며, n배의 셀 높이(n*CH)(n은 2이상의 자연수)를 갖는 스탠다드 셀을 멀티-하이트 스탠다드 셀(multi-height standard cell)이라 통칭할 수 있다.
제1 영역(RG1)은 제1 소자 영역(RX11), 제2 소자 영역(RX12) 및 제1 액티브 컷 영역(ACR1)을 포함하고, 제2 영역(RG2)은 제3 소자 영역(RX21), 제4 소자 영역(RX22) 및 제2 액티브 컷 영역(ACR2)을 포함할 수 있다. 제1 영역(RG1)과 제2 영역(RG2) 사이의 제2 파워 레일(272)에 상응하는 영역은 경계 영역(BND)이라 칭할 수 있다.
제1 멀티플렉서(MX1)는, 제2 금속층(M1)에 형성되고 제1 출력 신호(Y1)를 제공하는 제1 출력 배선(278)을 포함할 수 있다. 도 6에 도시된 바와 같이, 제1 출력 배선(278)의 제2 방향(Y)으로 신장되는 열 신장 부분은 제1 선택 게이트 라인(213)을 따라 제1 선택 게이트 라인(213)의 상부에 배치될 수 있다.
제2 멀티플렉서(MX2)는, 제1 금속층(M1)에 형성되고 제2 출력 신호(Y2)를 제공하는 제2 출력 배선(276)을 포함할 수 있다. 도 6에 도시된 바와 같이, 제2 출력 배선(276)의 제2 방향(Y)으로 신장되는 열 신장 부분은 제2 선택 게이트 라인(214)을 따라 제2 선택 게이트 라인(214)의 상부에 배치될 수 있다. 행 연결 배선(291)은 제1 금속층(M1) 상부의 제2 금속층(M2)에 형성될 수 있고, 결과적으로 제1 방향(X)으로 신장되는 행 연결 배선(291)은 제2 출력 배선(276)의 제2 방향(Y)으로 신장되는 열 신장 부분과 수직으로 교차할 수 있다.
도 6에 도시된 바와 같이, 제1 파워 레일(271) 및 제3 파워 레일(273)에는 전원 전압(VDD)이 인가되고, 제2 파워 레일(272)에는 접지 전압(VSS)이 인가될 수 있다. 이 경우, 제1 소자 영역(RX11) 및 제3 소자 영역(RX21)에는 P 타입의 트랜지스터들(MP1~MP8)이 형성되고, 제2 소자 영역(RX12) 및 제4 소자 영역(RX22)에는 N 타입의 트랜지스터들(MN1~MN8)이 형성될 수 있다.
행 연결 배선(291)은 제1 선택 게이트 라인(213) 및 제3 선택 게이트 라인(215)과 전기적으로 연결될 수 있다. 예를 들어, 도 6 및 7a에 도시된 바와 같이 행 연결 배선(291)은 제1 선택 게이트 라인(213)의 제2 게이트 세그먼트(213b) 및 제3 선택 게이트 라인(215)의 제2 게이트 세그먼트(215b)와 전기적으로 연결될 수 있다. 도 6 및 7a에는 제2 금속층(M2)의 행 연결 배선(291)이 상부 비아 콘택들(V1), 제2 금속층(M2)의 배선들(274, 275) 및 하부 비아 콘택들(V0)을 통하여 선택 게이트 라인들(213, 215)과 연결되는 실시예가 도시되어 있으나, 이에 한정되는 것은 아니다.
도 6에 도시된 바와 같이, 제1 선택 게이트 라인(213)은 제2 선택 트랜지스터(MN2) 및 제6 선택 트랜지스터(MN6)의 게이트 전극들을 형성하고, 제2 선택 게이트 라인(214)은 제1 선택 트랜지스터(MP2), 제4 선택 트랜지스터(MN4), 제5 선택 트랜지스터(MP6) 및 제8 선택 트랜지스터(MN8)의 게이트 전극들을 형성하고, 제3 선택 게이트 라인(215)은 제3 선택 트랜지스터(MP4) 및 제7 선택 트랜지스터(MP8)의 게이트 전극들을 형성할 수 있다. 행 연결 배선(291)은 제6 선택 트랜지스터(MN6)의 게이트 전극 및 제7 선택 트랜지스터(MP8)의 게이트 전극을 전기적으로 연결할 수 있다.
제1 선택 게이트 라인(213)의 일부에 해당하고 제1 영역(RG1)에 형성되는 선택 트랜지스터의 게이트 전극과 제1 선택 게이트 라인(213)의 다른 일부에 해당하고 제2 영역(RG2)에 형성되는 선택 트랜지스터의 게이트 전극은 제1 선택 게이트 라인(213)을 통하여 전기적으로 연결될 수 있다. 예를 들어, 도 6 및 7b에 도시된 바와 같이, 제1 영역(RG1)에 형성되는 제2 선택 트랜지스터(MN2)의 게이트 전극 및 제2 영역(RG2)에 형성되는 제6 선택 트랜지스터(MN6)의 게이트 전극은 제1 선택 게이트 라인(213)을 통하여 전기적으로 연결될 수 있다. 즉, 제1 선택 게이트 라인(213)이 게이트 컷 영역(CT)에 의해 복수의 게이트 세그먼트들(213a, 213b, 213c)로 분리되더라도, 제2 선택 트랜지스터(MN2) 및 제6 선택 트랜지스터(MN6)의 게이트 전극들은 제1 선택 게이트 라인(213)의 동일한 게이트 세그먼트(213b)에 포함될 수 있다.
도 6 및 7c에 도시된 바와 같이, 제1 영역(RG1)에 형성되는 제3 선택 트랜지스터(MP4)의 게이트 전극을 형성하는 제3 선택 게이트 라인(215)의 제1 게이트 세그먼트(215a)와 제2 영역(RG2)에 형성되는 제7 선택 트랜지스터(MP8)의 게이트 전극을 형성하는 제3 선택 게이트 라인(215)의 제2 게이트 세그먼트(215b)는 게이트 컷 영역(CT)에 의해 분리될 수 있다. 열 연결 배선(292)은 반도체 기판의 상부에서, 예를 들어, 제2 금속층(M2)에서 제2 방향(Y)으로 신장되어 형성되고 제3 선택 게이트 라인(215)의 제1 게이트 세그먼트(215a) 및 제3 선택 게이트 라인(215)의 제2 게이트 세그먼트(215b)를 전기적으로 연결할 수 있다.
제2 선택 게이트 라인(214)의 일부에 해당하고 제1 영역(RG1)에 형성되는 선택 트랜지스터들의 게이트 전극들과 제2 선택 게이트 라인(214)의 다른 일부에 해당하고 제2 영역(RG2)에 형성되는 선택 트랜지스터들의 게이트 전극들은 제2 선택 게이트 라인(214)을 통하여 전기적으로 연결될 수 있다. 예를 들어, 도 6 및 7d에 도시된 바와 같이, 제2 선택 게이트 라인(214)은 제1 영역(RG1) 및 제2 영역(RG2)에서 게이트 컷 영역(CT)에 의해 분리되지 않을 수 있다. 결과적으로 제1 영역(RG1)에 형성되는 제1 선택 트랜지스터(MP2)와 제4 선택 트랜지스터(MN4)의 게이트 전극들 및 제2 영역(RG2)에 형성되는 제5 선택 트랜지스터(MP6)와 제8 선택 트랜지스터(MN8)의 게이트 전극들은 모두 게이트 컷 영역(CT)에 의해 분리되지 않은 제2 선택 게이트 라인(214)에 형성되어 제2 선택 게이트 라인(214)을 통하여 전기적으로 연결될 수 있다.
점퍼 구조물을 통하여 더미로 동작하는 게이트 세그먼트 양쪽의 액티브 영역들을 전기적으로 연결할 수 있다. 예를 들어, 도 7e에 도시된 바와 같이, 점퍼 구조물(JMP1)은 제1 콘택들(234, 235) 및 제2 콘택(245)을 포함할 수 있다. 점퍼 구조물(JMP1)은 게이트 세그먼트(213a)의 양쪽의 액티브 영역들(114, 115) 위에 형성되는 제1 콘택들(234, 235) 및 게이트 세그먼트(213a) 위에 형성되는 제2 콘택(245)이 일체적으로 형성되는 형태를 가진다. 이러한 점퍼 구조물(JMP1)을 이용하여 게이트 세그먼트(213a)와 액티브 영역들(114, 115)을 전기적으로 연결할 수 있다.
도 8은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 일 실시예를 나타내는 도면이고, 도 9a 및 9b는 도 8의 스탠다드 셀과 동일한 레이아웃을 가질 수 있는 스탠다드 셀의 단면도들이다. 도 9a는 도 8의 F1-F1' 선의 단면에 대응하는 구성을 예시한 단면도이고, 도 9b는 도 8의 점퍼 구조물(JMP2) 단면에 대응하는 구성을 예시한 단면도이다.
도 8의 스탠다드 셀은 제3 선택 게이트 라인(215)에 관한 사항, 즉 도 7c의 단면도에 도시된 구성을 제외하고는 도 6의 스탠다드 셀과 동일하므로 도 6, 7a, 7b, 7d 및 7e와 중복되는 설명은 생략하고 차이점만을 설명한다.
제3 선택 게이트 라인(215)의 일부에 해당하고 제1 영역(RG1)에 형성되는 선택 트랜지스터의 게이트 전극과 제3 선택 게이트 라인(215)의 다른 일부에 해당하고 제2 영역(RG2)에 형성되는 선택 트랜지스터의 게이트 전극은 제3 선택 게이트 라인(215)을 통하여 전기적으로 연결될 수 있다. 예를 들어, 도 8 및 9a에 도시된 바와 같이, 제3 선택 게이트 라인(215)은 제1 영역(RG1) 및 제2 영역(RG2)에서 게이트 컷 영역(CT)에 의해 분리되지 않을 수 있다. 결과적으로 제1 영역(RG1)에 형성되는 제3 선택 트랜지스터(MP4)의 게이트 전극 및 제2 영역(RG2)에 형성되는 제7 선택 트랜지스터(MP8)의 게이트 전극은 모두 게이트 컷 영역(CT)에 의해 분리되지 않은 제3 선택 게이트 라인(215)에 형성되어 제3 선택 게이트 라인(215)을 통하여 전기적으로 연결될 수 있다.
점퍼 구조물(JMP2)을 통하여 제3 선택 게이트 라인(215) 양쪽의 액티브 영역들을 전기적으로 연결할 수 있다. 예를 들어, 도 9b에 도시된 바와 같이, 점퍼 구조물(JMP2)은 제1 콘택들(234, 235) 및 제2 콘택(245)을 포함할 수 있다. 점퍼 구조물(JMP2)은 제3 선택 게이트 라인(215)의 양쪽의 액티브 영역들(114, 115) 위에 형성되는 제1 콘택들(234, 235) 및 게이트 마스크(122) 위에 형성되는 제2 콘택(245)이 일체적으로 형성되는 형태를 가진다. 이와 같이, 게이트 마스크(122)에 의해 제3 선택 게이트 라인(215)과 전기적으로 절연되는 점퍼 구조물(JMP2)을 이용하여 제3 선택 게이트 라인(215) 양쪽의 액티브 영역들(114, 115)을 전기적으로 연결할 수 있다.
일 실시예에서, 도 6 및 7c의 실시예에서와 같이, 도 7e의 점퍼 구조물(JMP1)을 채용하는 경우에는 열 연결 배선(292)을 이용하여 제3 선택 게이트 라인(215)의 게이트 세그먼트들(215a, 215b)을 전기적으로 연결할 수 있다. 다른 실시예에서, 도 8 및 9a의 실시예에서와 같이, 도 9b의 점퍼 구조물(JMP2)을 채용하는 경우에는 열 연결 배선(292)이 생략되고 제3 선택 게이트 라인(215)의 전체가 제1 선택 노드(A)의 일부로서 이용될 수 있다.
도 10 및 11은 도 5의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 실시예들을 나타내는 도면들이다.
도 10의 스탠다드 셀은 제1 출력 노드를 형성하는 제1 출력 배선(278')에 관한 사항을 제외하고는 도 8의 스탠다드 셀과 동일하므로, 중복되는 설명은 생략하고 차이점만을 설명한다.
도 10의 제1 출력 배선(278')은 도 8의 출력 배선(278)과 좌우 대칭의 형상을 갖는다. 다시 말해, 도 6의 레이아웃에서는 제1 출력 배선(278)의 제2 방향(Y)으로 신장되는 열 신장 부분은 제1 선택 게이트 라인(213)을 따라 제1 선택 게이트 라인(213)의 상부에 배치되는 반면에, 도 10의 레이아웃에서는 제1 출력 배선(278')의 제2 방향(Y)으로 신장되는 열 신장 부분은 제3 선택 게이트 라인(215)을 따라 제3 선택 게이트 라인(215)의 상부에 배치될 수 있다.
이와 같이, 제1 출력 배선(278, 278')의 열 신장 부분을 제1 선택 게이트 라인(213) 또는 제3 선택 게이트 라인(215)의 상부에 배치함으로써, 상기 열 신장 부분을 위한 더미 게이트 라인이 요구되지 않으며, 결과적으로 스탠다드 셀의 셀 폭(CW)을 감소할 수 있다.
도 11의 스탠다드 셀은 파워 레일들에 인가되는 전압 및 트랜지스터들의 타입을 제외하고는 도 6의 스탠다드 셀과 동일하므로, 중복되는 설명은 생략하고 차이점만을 설명한다.
도 11에 도시된 바와 같이, 제1 파워 레일(271) 및 제3 파워 레일(273)에는 접지 전압(VSS)이 인가되고, 제2 파워 레일(272)에는 전원 전압(VDD)이 인가될 수 있다. 이 경우, 제1 소자 영역(RX11) 및 제3 소자 영역(RX21)에는 N 타입의 트랜지스터들(MN1~MN8)이 형성되고, 제2 소자 영역(RX12) 및 제4 소자 영역(RX22)에는 P 타입의 트랜지스터들(MP1~MP8)이 형성될 수 있다.
도 12 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 12를 참조하면, 집적 회로(203)는 제1 멀티플렉서(MX1) 및 제2 멀티플렉서(MX2)를 포함할 수 있다. 제1 멀티플렉서(MX1)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제1 입력 신호(C1) 및 제2 입력 신호(D1) 중 하나를 선택하여 제1 출력 신호(Y1)를 발생할 수 있다. 제2 멀티플렉서(MX2)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제3 입력 신호(C2) 및 제4 입력 신호(D2) 중 하나를 선택하여 제2 출력 신호(Y2)를 발생할 수 있다.
제1 멀티플렉서(MX1)는 제1 입력 트랜지스터(MP1), 제2 입력 트랜지스터(MN1), 제3 입력 트랜지스터(MP3), 제4 입력 트랜지스터(MN3), 제1 선택 트랜지스터(MP2), 제2 선택 트랜지스터(MN2), 제3 선택 트랜지스터(MP4) 및 제4 선택 트랜지스터(MN4)를 포함할 수 있다. 제2 멀티플렉서(MX2)는 제5 입력 트랜지스터(MP5), 제6 입력 트랜지스터(MN5), 제7 입력 트랜지스터(MP7), 제8 입력 트랜지스터(MN7), 제5 선택 트랜지스터(MP6), 제6 선택 트랜지스터(MN6), 제7 선택 트랜지스터(MP8) 및 제8 선택 트랜지스터(MN8)를 포함할 수 있다.
도 12의 집적 회로(203)는 제1 선택 신호(A)와 제2 선택 신호(B)가 서로 바뀐 것을 제외하고는 도 5의 집적 회로(202)와 동일하므로, 중복되는 설명은 생략하고 차이점만을 설명한다.
제1 선택 트랜지스터(MP2)는 제1 선택 신호(A)의 반전된 전압 레벨에 게이팅되어 제1 출력 신호(Y1)를 발생하는 제1 출력 노드(Y1)를 풀업시킨다. 제2 선택 트랜지스터(MN2)는 제2 선택 신호(B)의 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀다운시킨다. 제3 선택 트랜지스터(MP4)는 제2 선택 신호(B)의 반전된 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀업시킨다. 제4 선택 트랜지스터(MN4)는 제1 선택 신호(A)의 전압 레벨에 게이팅되어 제1 출력 노드(Y1)를 풀다운시킨다.
제5 선택 트랜지스터(MP5)는 제1 선택 신호(A)의 반전된 전압 레벨에 게이팅되어 제2 출력 신호(Y2)를 발생하는 제2 출력 노드(Y2)를 풀업시킨다. 제6 선택 트랜지스터(MN6)는 제2 선택 신호(B)의 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀다운시킨다. 제7 선택 트랜지스터(MP7)는 제2 선택 신호(B)의 반전된 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀업시킨다. 제8 선택 트랜지스터(MN8)는 제1 선택 신호(A)의 전압 레벨에 게이팅되어 제2 출력 노드(Y2)를 풀다운시킨다.
도 13 및 14는 도 12의 집적 회로에 상응하는 스탠다드 셀의 레이아웃의 실시예들을 나타내는 도면들이다.
도 13의 스탠다드 셀은 도 6의 스탠다드 셀과 실질적으로 동일하고, 도 14의 스탠다드 셀은 도 11의 스탠다드 셀과 실질적으로 동일하므로, 중복되는 설명은 생략한다. 도 13의 스탠다드 셀은 도 6의 스탠다드 셀과 좌우 대칭의 형상을 갖는다. I-I'선의 단면에 대응하는 구성은 도 7a의 단면도와 실질적으로 동일하고, J-J'선의 단면에 대응하는 구성은 도 7b의 단면도와 실질적으로 동일하고, K-K'선의 단면에 대응하는 구성은 도 7c의 단면도와 실질적으로 동일하고, L-L'선의 단면에 대응하는 구성은 도 7d의 단면도와 실질적으로 동일하다. 도 14의 스탠다드 셀은 파워 레일들에 인가되는 전압 및 트랜지스터들의 타입을 제외하고는 도 13의 스탠다드 셀과 동일하다.
도 15는 본 발명의 실시예들에 따른 집적 회로를 나타내는 회로도이다.
도 15를 참조하면, 집적 회로(204)는 복수의 트랜지스터들(MP1~MP12, MN1~MN12)로 구현되는 제1 멀티플렉서(MX1), 제2 멀티플렉서(MX2) 및 제3 멀티플렉서(MX3)를 포함할 수 있다. 제1 멀티플렉서(MX1)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제1 입력 신호(C1) 및 제2 입력 신호(D1) 중 하나를 선택하여 제1 출력 신호(Y1)를 발생할 수 있다. 제2 멀티플렉서(MX2)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제3 입력 신호(C2) 및 제4 입력 신호(D2) 중 하나를 선택하여 제2 출력 신호(Y2)를 발생할 수 있다. 제3 멀티플렉서(MX3)는 제1 선택 신호(A) 및 제2 선택 신호(B)에 기초하여 제5 입력 신호(C3) 및 제6 입력 신호(D3) 중 하나를 선택하여 제3 출력 신호(Y3)를 발생할 수 있다.
도 15의 세 개의 멀티플렉서들(MX1, MX2, MX3)을 포함하는 집적 회로(204)는 전술한 제1, 제2 및 제3 선택 게이트 라인들(GL1, GL2, GL3)이 제2 방향(Y)으로 인접하여 배치되는 3개의 영역들에 걸쳐 형성되는 스탠다드 셀의 레이아웃으로 구현될 수 있다. 여기서 상기 3개의 영역들의 각각은 인접하는 두 개의 파워 레일들 사이의 영역을 말한다. 이러한, 스탠다드 셀은 통상의 스탠다드 셀의 셀 높이(CH)보다 3배의 셀 높이(3CH)를 가지므로 트리플-하이트 스탠다드 셀(triple-height)이라 칭할 수 있으며, 이와 같이, n배의 셀 높이(n*CH)(n은 2이상의 자연수)를 갖는 스탠다드 셀을 멀티-하이트 스탠다드 셀(multi-height standard cell)이라 통칭할 수 있다.
도 16은 본 발명의 실시예들에 따른 집적 회로의 설계 방법을 나타내는 순서도이다.
도 16의 집적 회로의 설계 방법은 집적 회로의 레이아웃을 설계하는 방법 일 수 있고 집적 회로의 설계를 위한 툴(tool)에서 수행될 수 있다. 일 실시예에서, 상기 집적 회로를 설계하기 위한 툴은 프로세서에 의해 수행되는 복수의 명령들을 포함하는 프로그램일 수 있다.
도 16을 참조하면, 집적 회로를 정의하는 입력 데이터를 수신한다(S100). 일반적으로 집적 회로는 복수의 셀들로서 정의될 수 있고, 구체적으로, 복수의 셀들의 특성 정보를 포함하는 셀 라이브러리를 이용하여 설계될 수 있다. 상기 셀은 스탠다드 셀이고, 상기 셀 라이브러리는 스탠다드 셀 라이브러리(standard cell library)일 수 있다.
일 실시예에서, 상기 입력 데이터는 집적 회로의 동작(behavior)에 대한 추상적 형태로부터, 예컨대 RTL(register transfer level)에서 정의된 데이터로부터 셀 라이브러리를 이용하여 합성(synthesis)에 의해서 생성된 데이터일 수 있다. 예를 들면, 입력 데이터는 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 정의된 집적 회로가 합성됨으로써 생성된 비트스트림(bitstream) 또는 네트리스트(netlist)일 수 있다.
다른 실시예에서, 상기 입력 데이터는 집적 회로의 레이아웃을 정의하는 데이터일 수 있다. 예를 들면, 입력 데이터는 반도체 물질, 금속, 절연체 등으로서 구현된 구조체를 정의하는 기하학적인 정보를 포함할 수 있다. 입력 데이터가 나타내는 집적 회로의 레이아웃은 셀들의 레이아웃을 포함할 수 있고, 셀들을 서로 연결하는 도선들을 포함할 수 있다.
스탠다드 셀 라이브러리에 포함되는 복수의 스탠다드 셀들의 일부로서 적어도 하나의 멀티-하이트 스탠다드 셀을 제공한다(S200).
스탠다드 셀은 레이아웃의 크기가 미리 정해진 규칙을 만족하고 미리 정해진 기능을 갖는 집적 회로의 단위를 의미한다. 일반적으로 스탠다드 셀은 입력 핀(pin) 및 출력 핀을 포함할 수 있으며, 입력 핀으로 수신되는 신호를 처리함으로써 출력 핀을 통해 신호를 출력할 수 있다. 예를 들어, 스탠다드 셀은 AND, OR, NOR, 인버터 등과 같은 기본 셀(basic cell), OAI(OR/AND/INVERTER) 및 AOI(AND/OR/INVERTER) 등과 같은 복합 셀(complex cell), 그리고 단순한 마스터-슬레이브 플립플롭 및 래치 등과 같은 저장 요소(storage element)에 대응할 수 있다.
멀티-하이트 스탠다드 셀은, 도 1 내지 15를 참조하여 설명한 바와 같이, 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함할 수 있다.
스탠다드 셀 라이브러리는 복수의 스탠다드 셀들에 대한 정보를 포함할 수 있다. 예를 들어, 스탠다드 셀 라이브러리는 스탠다드 셀의 명칭, 스탠다드 셀의 기능에 대한 정보, 타이밍 정보, 전력 정보 및 레이아웃 정보 등을 포함할 수 있다. 스탠다드 셀 라이브러리는 스토리지에 저장되어 있을 수 있고, 상기 스토리지에 액세스함으로써 스탠다드 셀 라이브러리가 제공될 수 있다.
상기 입력 데이터 및 상기 스탠다드 셀 라이브러리에 기초하여 배치 및 라우팅(placement and routing)을 수행하고(S300), 상기 배치 및 라우팅의 결과에 기초하여 상기 집적 회로를 정의하는 출력 데이터를 생성한다(S400).
일 실시예에서, 수신된 입력 데이터가 집적 회로를 합성함으로써 생성된 비트스트림 또는 네트리스트와 같은 데이터인 경우, 출력 데이터는 비트스트림 또는 네트리스트일 수 있다. 다른 실시예에서, 수신된 입력 데이터가, 예컨대 GDSII(Graphic Data System II) 형식(format)을 가지는 집적 회로의 레이아웃을 정의하는 데이터인 경우, 출력 데이터의 형식 역시 집적 회로의 레이아웃을 정의하는 데이터일 수 있다.
본 발명의 실시예들에 따른 집적 회로 및 집적 회로의 설계 방법은, 게이트 라인들 및 연결 배선의 효율적인 라우팅을 통한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소하고 성능을 향상시킬 수 있다.
도 17은 본 발명의 실시예들에 따른 집적 회로의 설계 시스템의 블록도이다.
도 17을 참조하면, 설계 시스템(1000)은 저장부(1100), 설계 모듈(1400) 및 프로세서(1500)를 포함할 수 있다.
저장부(1100)는 스탠다드 셀 라이브러리(standard cell library)(SCLB)(1110)를 포함할 수 있다. 스탠다드 셀 라이브러리(1110)는 저장부(1100)로부터 설계 모듈(1400)로 제공될 수 있다. 스탠다드 셀 라이브러리(1110)는 복수의 스탠다드 셀들을 포함할 수 있고, 상기 복수의 스탠다드 셀들은 하나 이상의 멀티-하이트 스탠다드 셀들을 포함할 수 있다. 스탠다드 셀은, 블록, 소자 또는 칩의 설계에서 최소 단위를 구성하는 유닛일 수 있다.
저장부(1100)는 컴퓨터로 읽을 수 있는 저장 매체로서, 데이터 및/또는 컴퓨터에 의해 실행되는 명령어들을 저장하는 임의의 저장 매체를 포함할 수 있다. 예를 들면, 컴퓨터로 읽을 수 있는 저장 매체는 RAM, ROM 등의 휘발성 메모리, 플래시 메모리, MRAM, PRAM, RRAM 등과 같은 비휘발성 메모리 등을 포함할 수 있다. 컴퓨터로 읽을 수 있는 저장 매체는 컴퓨터에 삽입 가능하거나, 컴퓨터 내에 집적되거나, 네트워크 및/또는 무선 링크와 같은 통신 매개체를 통해서 컴퓨터와 결합될 수 있다.
설계 모듈(1400)은 배치 모듈(placement module)(PLMD)(1200) 및 라우팅 모듈(routing module)(RTMD)(1300)을 포함할 수 있다.
이하에서 사용되는 '모듈'이라는 용어는 소프트웨어, FPGA또는 ASIC과 같은 하드웨어 또는 소프트웨어와 하드웨어의 조합을 나타낼 수 있다. '모듈'은 소프트웨어의 형태로서 어드레싱할 수 있는 저장 매체에 저장될 수 있고, 하나 또는 그 이상의 프로세서들에 의해 실행되도록 구성될 수도 있다. 예를 들어, '모듈'은 소프트웨어 구성요소들, 객체지향 소프트웨어 구성요소들, 클래스 구성요소들 및 태스크 구성요소들과 같은 구성요소들과, 프로세스들, 함수들, 속성들, 프로시저들, 서브루틴들, 프로그램 코드의 세그먼트들, 드라이버들, 펌웨어, 마이크로코드, 회로, 데이터, 데이터베이스, 데이터 구조들, 테이블들, 어레이들, 및 변수들을 포함할 수 있다. '모듈'은 세부적인 기능들을 수행하는 복수의 '모듈'들로 분리될 수도 있다.
배치 모듈(1200)은, 프로세서(40)를 이용하여, 집적 회로를 정의하는 입력 데이터(DI) 및 스탠다드 셀 라이브러리(1110)에 기초하여 스탠다드 셀들을 배치할 수 있다. 라우팅 모듈(1300)은 배치 모듈(1200)로부터 제공되는 셀 배치에 대하여 신호 라우팅을 수행한다. 라우팅이 성공적으로 완료되지 않은 경우, 배치 모듈(1200)은 기존의 배치를 수정하여 제공하고 라우팅 모듈(1300)은 수정된 배치에 대해서 신호 라우팅을 다시 수행할 수 있다. 라우팅이 성공적으로 완료된 경우, 라우팅 모듈(1300)은 집적 회로를 정의하는 출력 데이터(DO)를 생성할 수 있다.
배치 모듈(1200)과 라우팅 모듈(1300)은 하나의 통합된 모듈(1400)로 구현될 수도 있고, 배치 모듈(1200)과 라우팅 모듈(1300)은 각각 분리된 별개의 모듈들로서 구현될 수도 있다. 배치 모듈(1200)과 라우팅 모듈(1300)을 포함하는 설계 모듈(1400)은 전술한 바와 같은 멀티-하이트 스탠다드 셀들을 이용하여 배치 및 라우팅을 수행할 수 있다.
배치 모듈(1200) 및/또는 라우팅 모듈(1300)은 소프트웨어의 형태로 구현될 수 있으나, 본 발명이 반드시 이에 제한되는 것은 아니다. 배치 모듈(1200) 및 라우팅 모듈(1300)이 모두 소프트웨어 형태로 구현될 경우, 배치 모듈(1200) 및 라우팅 모듈(1300)은 저장부(1100)에 코드(code) 형태로 저장될 수도 있고, 저장부(1100)와 분리된 다른 저장부(미도시)에 코드 형태로 저장될 수도 있다.
프로세서(1500)는 설계 모듈(1400)이 연산을 수행하는데 이용될 수 있다. 비록 도 17에서는 1개의 프로세서(1500) 만을 도시하였으나, 본 발명이 이에 제한되는 것은 아니고 설계 시스템(1000)은 복수의 프로세서들을 포함할 수 있다. 한편, 비록 도면에는 상세히 도시되지 않았지만, 프로세서(1500)는 연산 능력 향상을 위해 캐시 메모리를 포함할 수도 있다.
이와 같이, 본 발명의 실시예들에 따른 집적 회로 및 집적 회로의 설계 방법은, 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소하고 성능을 향상시킬 수 있다.
도 18은 도 17의 설계 시스템의 동작의 일 실시예를 나타내는 순서도이다.
도 17 및 도 18을 참조하면, 설계 모듈(1400)은 집적 회로를 정의하는 입력 데이터(DI)를 수신한다(S11). 배치 모듈(1200)은 전술한 바와 같은 적어도 하나의 멀티-하이트 스탠다드 셀들을 포함하는 스탠다드 셀 라이브러리(1110)를 참조하여 입력 데이터(DI)에 상응하는 스탠다드 셀들을 추출하고, 추출된 스탠다드 셀들을 사용하여 배치를 수행한다(S12). 라우팅 모듈(1300)은 배치 모듈(1200)로부터 제공된 배치에 대하여 신호 라우팅을 수행한다(S13).
신호 라우팅이 실패한 경우(S14: NO), 배치 모듈(1200)은 배치를 수정하고(S15), 수정된 배치를 제공한다. 배치의 수정시 배치된 하나의 멀티-하이트 스탠다드 셀을 복수의 싱글-하이트 스탠다드 셀들로 대체할 수도 있고, 반대로 복수의 싱글-하이트 스탠다드 셀들을 하나의 멀티-하이트 스탠다드 셀로 대체할 수 있다. 라우팅 모듈(1300)은 수정된 배치에 대하여 신호 라우팅을 다시 수행한다(S13).
이와 같이, 라우팅이 성공적으로 완료될 때까지 배치 및 라우팅을 반복할 수 있다. 신호 라우팅이 성공적으로 완료된 경우(S14: YES), 설계 모듈(1400)은 상기 집적 회로를 정의하는 출력 데이터(DO)를 생성한다(S16).
도 19는 본 발명의 일 실시예에 따른 집적 회로의 레이아웃을 나타내는 도면이다.
도 19의 집적 회로(300)는 ASIC(application specific integrated circuit)일 수 있다. 집적 회로(300)의 레이아웃은 스탠다드 셀들(SC1~SC12)의 전술한 배치 및 라우팅을 수행하여 결정될 수 있다. 파워는 파워 레일들(311~316)을 통하여 스탠다드 셀들(SC1~SC12)에 제공될 수 있다. 파워 레일들(311~316)은 제1 전원 전압(VDD)을 공급하는 하이 파워 레일들(311, 313, 315) 및 제1 전원 전압(VDD)보다 낮은 제2 전원 전압(VSS)을 공급하는 로우 파워 레일들(312, 314, 316)을 포함한다. 예를 들어, 제1 전원 전압(VDD)은 양의 전압이고 제2 전원 전압(VSS)은 접지 전압(즉, 0 V) 또는 음의 전압일 수 있다.
하이 파워 레일들(311, 313, 315) 및 로우 파워 레일들(312, 314, 316)은, 서로 평행하게 행 방향(X)으로 길게 신장되고 열 방향(Y)으로 하나씩 교번적으로(alternately) 배열되어 열 방향(Y)으로 배열된 복수의 회로 행들(CR1~CR5)의 경계를 이룰 수 있다. 도 19에 도시된 파워 레일들의 개수 및 회로 행들의 개수는 예시적인 것이며 이들의 개수는 다양하게 결정될 수 있다.
예를 들어, 파워는 열 방향(Y)으로 길게 신장된 파워 메쉬 루트들(power mesh routes)(321~324)을 통하여 파워 레일들(311~316)로 분배될 수 있다. 도 19에서 일부 파워 메쉬 루트들(322, 324)은 제1 전원 전압(VDD)을 공급하고 다른 파워 메쉬 루트들(321, 323)은 제2 전원 전압(VSS)을 공급할 수 있다. 파워 메쉬 루트들(321~324)과 파워 레일(311~316)은 비아(via)와 같은 수직 콘택들(VC)을 통하여 서로 전기적으로 연결될 수 있다.
일반적으로 회로 행들(CR1~CR5)의 각각은 상하의 경계들에 배치되는 파워 레일 쌍에 결합되어 전원을 공급받을 수 있다. 예를 들어, 제1 회로 행(CR1)에 배치된 싱글-하이트 스탠다드 셀들(SC1, SC2, SC3, SC4)은 상응하는 파워 레일 쌍(311, 312)에 결합될 수 있다.
예를 들어, 도 19에 도시된 바와 같이, 제6 스탠다드 셀(SC6)은 제2 및 제3 회로 행들(CR2, CR3)에 걸쳐 배치된 더블-하이트 스탠다드 셀에 해당하고, 제7 스탠다드 셀(SC7)은 제2, 제3 및 제4 회로 행들(CR2, CR3, CR4)에 걸쳐 배치된 트리플-하이트 스탠다드 셀에 해당할 수 있다. 이와 같이, 싱글-하이트 스탠다드 셀들(SC1~SC5, SC8~SC12))과 멀티-하이트 셀들(SC6, SC7)의 적절한 배치 및 라우팅을 통하여 집적 회로(300)의 면적을 감소하고 성능을 향상시킬 수 있다.
도 20은 본 발명의 실시예들에 따른 모바일 장치를 나타내는 블록도이다.
도 20을 참조하면, 모바일 장치(4000)는 어플리케이션 프로세서(4100), 통신 모듈(4200), 디스플레이/터치 모듈(4300), 저장 장치(4400), 및 모바일 램(4500)을 포함한다.
어플리케이션 프로세서(4100)는 모바일 장치(4000)의 전반적인 동작을 제어한다. 어플리케이션 프로세서(4100)는 인터넷 브라우저, 게임, 동영상 등을 제공하는 어플리케이션들을 실행할 수 있다. 통신 모듈(4200)은 외부와의 유선 통신 및/또는 무선 통신을 제어하도록 구현될 수 있다. 디스플레이/터치 모듈(4300)은 어플리케이션 프로세서(4100)에서 처리된 데이터를 디스플레이 하거나, 터치 패널로부터 데이터를 입력 받도록 구현될 수 있다. 저장 장치(4400)는 사용자의 데이터를 저장하도록 구현될 수 있다.
저장 장치(4400)는 임베디드 멀티미디어 카드(eMMC, embedded multimedia card), 솔리드 스테이트 드라이브(SSD, solid state drive), 유니버셜 플래시 스토리지(UFS, universal flash storage) 장치일 수 있다.
모바일 램(4500)은 모바일 장치(4000)의 처리 동작 시 필요한 데이터를 임시로 저장하도록 구현될 수 있다. 예를 들어, 모바일 램(4500)은 DDR SDRAM, LPDDR SDRAM, GDDR SDRAM, RDRAM 등과 같은 동적 랜덤 액세스 메모리일 수 있다.
모바일 장치(4000)의 구성 요소들 중 적어도 하나는 본 발명의 실시예들에 따른 적어도 하나 이상의 멀티-하이트 스탠다드 셀들을 포함할 수 있다. 전술한 바와 같이, 상기 멀티-하이트 스탠다드 셀들은 스탠다드 셀 라이브러리에 포함될 수 있고, 툴을 이용한 자동 배치 및 라우팅(automatic placement and routing)을 통하여 모바일 장치(4000)에 포함되는 집적 회로를 설계 할 수 있다.
이와 같이, 본 발명의 실시예들에 따른 집적 회로 및 집적 회로의 설계 방법은, 게이트 라인들 및 연결 배선의 효율적인 라우팅에 의한 선택 노드들을 포함하는 멀티-하이트 스탠다드 셀을 이용하여 집적 회로의 면적을 감소하고 성능을 향상시킬 수 있다.
본 발명의 실시예들은 임의의 전자 장치 및 이를 포함하는 시스템에 유용하게 이용될 수 있다. 특히 본 발명의 실시예들은 메모리 카드, 솔리드 스테이트 드라이브(Solid State Drive; SSD), 임베디드 멀티미디어 카드(eMMC, embedded multimedia card), 컴퓨터(computer), 노트북(laptop), 핸드폰(cellular phone), 스마트폰(smart phone), MP3 플레이어, 피디에이(Personal Digital Assistants; PDA), 피엠피(Portable Multimedia Player; PMP), 디지털 TV, 디지털 카메라, 포터블 게임 콘솔(portable game console), 네비게이션(navigation) 기기, 웨어러블(wearable) 기기, IoT(internet of things;) 기기, IoE(internet of everything:) 기기, e-북(e-book), VR(virtual reality) 기기, AR(augmented reality) 기기 등과 같은 전자 기기에 더욱 유용하게 적용될 수 있다.
상기에서는 본 발명이 바람직한 실시예를 참조하여 설명하였지만, 해당 기술분야의 숙련된 당업자는 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 것이다.

Claims (20)

  1. 반도체 기판;
    상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일;
    상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인; 및
    상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함하고,
    상기 제1 영역에 형성되는 선택 트랜지스터의 게이트 전극을 형성하는 상기 제3 선택 게이트 라인의 제1 게이트 세그먼트와 상기 제2 영역에 형성되는 선택 트랜지스터의 게이트 전극을 형성하는 상기 제3 선택 게이트 라인의 제2 게이트 세그먼트는 게이트 컷 영역에 의해 분리되고,
    상기 반도체 기판의 상부에서 상기 제2 방향으로 신장되어 형성되고 상기 제3 선택 게이트 라인의 상기 제1 게이트 세그먼트 및 상기 제3 선택 게이트 라인의 상기 제2 게이트 세그먼트를 전기적으로 연결하는 열 연결 배선을 더 포함하는 집적 회로.
  2. 제1 항에 있어서,
    상기 제1 선택 게이트 라인, 상기 제3 선택 게이트 라인 및 상기 행 연결 배선은 제1 선택 신호가 인가되는 제1 선택 노드를 형성하고,
    상기 제2 선택 게이트 라인은 제2 선택 신호가 인가되는 제2 선택 노드를 형성하는 것을 특징으로 하는 집적 회로.
  3. 제1 항에 있어서,
    상기 제2 선택 게이트 라인의 일부에 해당하고 상기 제1 영역에 형성되는 선택 트랜지스터들의 게이트 전극들과 상기 제2 선택 게이트 라인의 다른 일부에 해당하고 상기 제2 영역에 형성되는 선택 트랜지스터들의 게이트 전극들은 상기 제2 선택 게이트 라인을 통하여 전기적으로 연결되는 것을 특징으로 하는 집적 회로.
  4. 제3 항에 있어서,
    상기 제2 선택 게이트 라인은 상기 제1 영역 및 상기 제2 영역에서 게이트 컷 영역에 의해 분리되지 않는 것을 특징으로 하는 집적 회로.
  5. 제1 항에 있어서,
    상기 제1 선택 게이트 라인의 일부에 해당하고 상기 제1 영역에 형성되는 선택 트랜지스터의 게이트 전극과 상기 제1 선택 게이트 라인의 다른 일부에 해당하고 상기 제2 영역에 형성되는 선택 트랜지스터의 게이트 전극은 상기 제1 선택 게이트 라인을 통하여 전기적으로 연결되는 것을 특징으로 하는 집적 회로.
  6. 삭제
  7. 삭제
  8. 반도체 기판;
    상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일;
    상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인; 및
    상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함하고,
    상기 제3 선택 게이트 라인의 일부에 해당하고 상기 제1 영역에 형성되는 선택 트랜지스터의 게이트 전극과 상기 제3 선택 게이트 라인의 다른 일부에 해당하고 상기 제2 영역에 형성되는 선택 트랜지스터의 게이트 전극은 상기 제3 선택 게이트 라인을 통하여 전기적으로 연결되는 것을 특징으로 하는 집적 회로.
  9. 반도체 기판;
    상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일;
    상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인; 및
    상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함하고,
    상기 제1 영역에는 제1 선택 신호 및 제2 선택 신호에 기초하여 제1 입력 신호 및 제2 입력 신호 중 하나를 선택하여 제1 출력 신호를 발생하는 제1 멀티플렉서가 형성되고,
    상기 제2 영역에는 상기 제1 선택 신호 및 상기 제2 선택 신호에 기초하여 제3 입력 신호 및 제4 입력 신호 중 하나를 선택하여 제2 출력 신호를 발생하는 제2 멀티플렉서가 형성되고,
    상기 제2 멀티플렉서는, 제1 금속층에 형성되고 상기 제2 출력 신호를 제공하는 제2 출력 배선을 포함하고,
    상기 제2 출력 배선의 상기 제2 방향으로 신장되는 열 신장 부분은 상기 제2 선택 게이트 라인을 따라 상기 제2 선택 게이트 라인의 상부에 배치되는 것을 특징으로 하는 집적 회로.
  10. 제9 항에 있어서,
    상기 제1 멀티플렉서는, 금속층에 형성되고 상기 제1 출력 신호를 제공하는 제1 출력 배선을 포함하고,
    상기 제1 출력 배선의 상기 제2 방향으로 신장되는 열 신장 부분은 상기 제1 선택 게이트 라인 또는 상기 제3 선택 게이트 라인을 따라 상기 제1 선택 게이트 라인 또는 상기 제3 선택 게이트 라인의 상부에 배치되는 것을 특징으로 하는 집적 회로.
  11. 삭제
  12. 제9 항에 있어서,
    상기 행 연결 배선은 상기 제1 금속층 상부의 제2 금속층에 형성되는 것을 특징으로 하는 집적 회로.
  13. 제9 항에 있어서,
    상기 행 연결 배선은 상기 제2 출력 배선의 열 신장 부분과 수직으로 교차하는 것을 특징으로 하는 집적 회로.
  14. 반도체 기판;
    상기 반도체 기판의 상부에서 제1 방향으로 신장되어 형성되고 상기 제1 방향과 수직한 제2 방향으로 순차적으로 이격하여 배열되는 제1 파워 레일, 제2 파워 레일과 제3 파워 레일;
    상기 반도체 기판의 상부에서 상기 제1 파워 레일과 상기 제2 파워 레일 사이의 제1 영역 및 상기 제2 파워 레일과 상기 제3 파워 레일 사이의 제2 영역에 걸쳐 상기 제2 방향으로 신장되어 형성되고 상기 제1 방향으로 순차적으로 이격하여 배열되는 제1 선택 게이트 라인, 제2 선택 게이트 라인과 제3 선택 게이트 라인; 및
    상기 반도체 기판의 상부에서 상기 제1 방향으로 신장되어 형성되고 상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함하고,
    상기 제1 영역에는 제1 선택 신호 및 제2 선택 신호에 기초하여 제1 입력 신호 및 제2 입력 신호 중 하나를 선택하여 제1 출력 신호를 발생하는 제1 멀티플렉서가 형성되고,
    상기 제2 영역에는 상기 제1 선택 신호 및 상기 제2 선택 신호에 기초하여 제3 입력 신호 및 제4 입력 신호 중 하나를 선택하여 제2 출력 신호를 발생하는 제2 멀티플렉서가 형성되고,
    상기 제1 멀티플렉서는,
    상기 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제1 출력 신호를 발생하는 제1 출력 노드를 풀업시키는 제1 선택 트랜지스터;
    상기 제1 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제2 선택 트랜지스터;
    상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀업시키는 제3 선택 트랜지스터; 및
    상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제4 선택 트랜지스터를 포함하고,
    상기 제2 멀티플렉서는,
    상기 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제2 출력 신호를 발생하는 제2 출력 노드를 풀업시키는 제5 선택 트랜지스터;
    상기 제1 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제6 선택 트랜지스터;
    상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀업시키는 제7 선택 트랜지스터; 및
    상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제8 선택 트랜지스터를 포함하는 것을 특징으로 하는 집적 회로.
  15. 제14 항에 있어서,
    상기 제1 선택 게이트 라인은 상기 제2 선택 트랜지스터 및 상기 제6 선택 트랜지스터의 게이트 전극들을 형성하고,
    상기 제2 선택 게이트 라인은 상기 제1 선택 트랜지스터, 상기 제4 선택 트랜지스터, 상기 제5 선택 트랜지스터 및 상기 제8 선택 트랜지스터의 게이트 전극들을 형성하고,
    상기 제3 선택 게이트 라인은 상기 제3 선택 트랜지스터 및 상기 제7 선택 트랜지스터의 게이트 전극들을 형성하는 것을 특징으로 하는 집적 회로.
  16. 제15 항에 있어서,
    상기 행 연결 배선은 상기 제6 선택 트랜지스터의 게이트 전극 및 상기 제7 선택 트랜지스터의 게이트 전극을 전기적으로 연결하는 것을 특징으로 하는 집적 회로.
  17. 제15 항에 있어서,
    상기 제1 선택 트랜지스터, 상기 제3 선택 트랜지스터, 상기 제5 선택 트랜지스터 및 상기 제7 선택 트랜지스터는 제1 타입의 트랜지스터들이고,
    상기 제2 선택 트랜지스터, 상기 제4 선택 트랜지스터, 상기 제6 선택 트랜지스터 및 상기 제8 선택 트랜지스터는 상기 제1 타입과 타른 제2 타입의 트랜지스터들인 것을 특징으로 하는 집적 회로.
  18. 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 제1 출력 노드를 풀업시키는 제1 선택 트랜지스터;
    제1 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제2 선택 트랜지스터;
    상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀업시키는 제3 선택 트랜지스터
    상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제1 출력 노드를 풀다운시키는 제4 선택 트랜지스터;
    상기 제2 선택 신호의 반전된 전압 레벨에 게이팅되어 제2 출력 노드를 풀업시키는 제5 선택 트랜지스터;
    상기 제1 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제6 선택 트랜지스터;
    상기 제1 선택 신호의 반전된 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀업시키는 제7 선택 트랜지스터;
    상기 제2 선택 신호의 전압 레벨에 게이팅되어 상기 제2 출력 노드를 풀다운시키는 제8 선택 트랜지스터;
    상기 제2 선택 트랜지스터 및 상기 제6 선택 트랜지스터의 게이트 전극들을 형성하는 제1 선택 게이트 라인;
    상기 제1 선택 트랜지스터, 상기 제4 선택 트랜지스터, 상기 제5 선택 트랜지스터 및 상기 제8 선택 트랜지스터의 게이트 전극들을 형성하는 제2 선택 게이트 라인;
    상기 제3 선택 트랜지스터 및 상기 제7 선택 트랜지스터의 게이트 전극들을 형성하는 제3 선택 게이트 라인; 및
    상기 제1 선택 게이트 라인 및 상기 제3 선택 게이트 라인을 전기적으로 연결하는 행 연결 배선을 포함하는 집적 회로.
  19. 제18 항에 있어서,
    상기 제1 출력 노드 및 상기 제2 출력 노드는 제1 금속층에 형성되는 배선들을 포함하고,
    상기 행 연결 배선은 상기 제1 금속층과 다른 제2 금속층에 형성되는 것을 특징으로 하는 집적 회로.
  20. 삭제
KR1020180072863A 2018-06-25 2018-06-25 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법 KR102518811B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180072863A KR102518811B1 (ko) 2018-06-25 2018-06-25 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US16/250,000 US10957683B2 (en) 2018-06-25 2019-01-17 Integrated circuit including multi-height standard cell and method of designing the same
CN201910331450.1A CN110634857A (zh) 2018-06-25 2019-04-23 包括多倍高度标准单元的集成电路及其设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180072863A KR102518811B1 (ko) 2018-06-25 2018-06-25 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법

Publications (2)

Publication Number Publication Date
KR20200000710A KR20200000710A (ko) 2020-01-03
KR102518811B1 true KR102518811B1 (ko) 2023-04-06

Family

ID=68968561

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180072863A KR102518811B1 (ko) 2018-06-25 2018-06-25 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법

Country Status (3)

Country Link
US (1) US10957683B2 (ko)
KR (1) KR102518811B1 (ko)
CN (1) CN110634857A (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102387946B1 (ko) * 2018-05-21 2022-04-18 삼성전자주식회사 클럽풋 구조의 도전 패턴을 포함하는 집적 회로
KR102647231B1 (ko) 2018-08-02 2024-03-13 삼성전자주식회사 반도체 소자 및 이의 제조방법
CN112771655A (zh) * 2018-09-28 2021-05-07 株式会社索思未来 半导体集成电路装置以及半导体封装件构造
CN112018112A (zh) * 2019-05-29 2020-12-01 中芯国际集成电路制造(上海)有限公司 半导体单元结构及其形成方法
US11062739B2 (en) * 2019-06-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor chip having memory and logic cells
US11735592B2 (en) * 2019-12-20 2023-08-22 Samsung Electronics Co., Ltd. Integrated circuit including integrated standard cell structure
US11803682B2 (en) * 2020-01-22 2023-10-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including standard cell having split portions
US11709985B2 (en) * 2020-01-22 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including standard cells with combined active region
US11362090B2 (en) * 2020-01-31 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having buried logic conductor type of complementary field effect transistor, method of generating layout diagram and system for same
KR20220036750A (ko) * 2020-09-16 2022-03-23 삼성전자주식회사 집적회로 장치
KR20220041280A (ko) * 2020-09-24 2022-04-01 삼성전자주식회사 반도체 장치
US11836432B2 (en) * 2020-11-06 2023-12-05 Arm Limited Cell architecture with backside power rails
KR20220118094A (ko) * 2021-02-18 2022-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4868934B2 (ja) 2006-05-11 2012-02-01 ルネサスエレクトロニクス株式会社 半導体記憶装置
KR20110134180A (ko) 2010-06-08 2011-12-14 삼성전자주식회사 쉴드 트리를 포함하는 반도체 장치 및 그것의 레이아웃 방법
US8856704B2 (en) 2010-11-22 2014-10-07 Industry-University Cooperation Foundation Hanyang University Layout library of flip-flop circuit
JP5938277B2 (ja) * 2012-06-08 2016-06-22 ルネサスエレクトロニクス株式会社 半導体装置
KR102178732B1 (ko) 2013-12-20 2020-11-13 삼성전자주식회사 반도체 소자
US9449970B2 (en) * 2014-08-22 2016-09-20 Samsung Electronics Co., Ltd. Semiconductor devices and methods of forming the same
US9946828B2 (en) * 2014-10-30 2018-04-17 Samsung Electronics Co., Ltd. Integrated circuit and method of designing layout thereof
US9646960B2 (en) * 2015-02-26 2017-05-09 Samsung Electronics Co., Ltd. System-on-chip devices and methods of designing a layout therefor
KR102223970B1 (ko) * 2015-03-12 2021-03-09 삼성전자주식회사 반도체 장치, 레이아웃 시스템 및 스탠다드 셀 라이브러리
US9391080B1 (en) 2015-04-28 2016-07-12 Globalfoundries Inc. Memory bit cell for reduced layout area
KR102386907B1 (ko) 2015-09-10 2022-04-14 삼성전자주식회사 반도체 집적 회로
KR102474687B1 (ko) * 2016-02-23 2022-12-05 삼성전자주식회사 반도체 장치
US9641161B1 (en) 2016-05-02 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Flip-flop with delineated layout for reduced footprint
KR102517568B1 (ko) * 2016-09-28 2023-04-03 삼성전자주식회사 반도체 장치
KR102633141B1 (ko) * 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자
KR102362016B1 (ko) * 2017-09-19 2022-02-10 삼성전자주식회사 마스터 슬레이브 플립 플롭

Also Published As

Publication number Publication date
US20190393205A1 (en) 2019-12-26
KR20200000710A (ko) 2020-01-03
CN110634857A (zh) 2019-12-31
US10957683B2 (en) 2021-03-23

Similar Documents

Publication Publication Date Title
KR102518811B1 (ko) 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US11188704B2 (en) Integrated circuit including a modified cell and a method of designing the same
CN107464802B (zh) 集成电路和标准单元库
KR101971327B1 (ko) 집적 회로 배열 및 그 제조 방법
CN108228968B (zh) 用于减小电力轨道中欧姆压降的集成电路
US11362032B2 (en) Semiconductor device
CN112086450A (zh) 半导体器件
US20210143800A1 (en) Hybrid standard cell and method of designing integrated circuit using the same
US11094686B2 (en) Integrated circuit including multi-height standard cell and method of designing the same
JPWO2020170715A1 (ja) 半導体集積回路装置
KR101651230B1 (ko) 집적 회로 및 표준 셀 라이브러리
KR102628894B1 (ko) 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
KR102446164B1 (ko) 부하 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US11057026B2 (en) Semi-dynamic flip-flop implemented as multi-height standard cell and method of designing integrated circuit including the same
CN112713135A (zh) 半导体器件
CN114911452A (zh) 加法器单元和包括该加法器单元的集成电路
KR20230040755A (ko) 다중 높이 표준 셀 및 이를 포함하는 집적 회로
KR102678555B1 (ko) 변형 셀을 포함하는 집적 회로 및 그 설계 방법
US20220129612A1 (en) Methods of routing clock trees, integrated circuits and methods of designing integrated circuits
KR20210016264A (ko) 반도체 소자
CN118057611A (zh) 包括标准单元的集成电路及制造该集成电路的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant