KR102403734B1 - 집적회로 장치 및 그 제조방법 - Google Patents

집적회로 장치 및 그 제조방법 Download PDF

Info

Publication number
KR102403734B1
KR102403734B1 KR1020170151723A KR20170151723A KR102403734B1 KR 102403734 B1 KR102403734 B1 KR 102403734B1 KR 1020170151723 A KR1020170151723 A KR 1020170151723A KR 20170151723 A KR20170151723 A KR 20170151723A KR 102403734 B1 KR102403734 B1 KR 102403734B1
Authority
KR
South Korea
Prior art keywords
gate
contact
substrate
disposed
guide pattern
Prior art date
Application number
KR1020170151723A
Other languages
English (en)
Other versions
KR20190054771A (ko
Inventor
배덕한
김상영
류병찬
유종호
전다운
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170151723A priority Critical patent/KR102403734B1/ko
Priority to US16/013,734 priority patent/US10593671B2/en
Priority to CN201811337662.2A priority patent/CN109786378B/zh
Publication of KR20190054771A publication Critical patent/KR20190054771A/ko
Application granted granted Critical
Publication of KR102403734B1 publication Critical patent/KR102403734B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

집적회로 장치는, 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판; 상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물 상에서 상기 제2 방향으로 연장되며, 상기 제1 방향을 따른 상부 폭이 상기 제1 방향을 따른 하부 폭보다 작고, 상기 제2 방향으로 연장되는 경사 측벽(inclined sidewall)을 갖는 가이드 패턴; 상기 게이트 구조물 양 측에 배치되는 소스/드레인 영역; 및 상기 소스/드레인 영역 중 하나와 전기적으로 연결되는 제1 콘택으로서, 상기 제1 콘택의 상측(upper portion)은 상기 가이드 패턴의 상기 경사 측벽과 접촉하는 상기 제1 콘택을 포함한다.

Description

집적회로 장치 및 그 제조방법{Integrated circuit devices and methods of manufacturing the same}
본 발명의 기술적 사상은 집적회로 장치 및 그 제조방법에 관한 것으로, 더욱 상세하게는, 핀형 활성 영역을 포함하는 집적회로 장치에 관한 것이다.
전자 제품의 경박 단소화 경향에 따라 집적회로 장치의 고집적화에 대한 요구가 증가하고 있다. 집적회로 장치의 다운스케일링에 따라, 트랜지스터의 단채널 효과(short channel effect)가 발생하여 집적회로 장치의 신뢰성이 저하되는 문제가 있다. 단채널 효과를 감소시키기 위하여 핀형 활성 영역을 포함하는 집적회로 장치가 제안되었다. 그러나, 디자인 룰이 감소함에 따라 상기 핀형 활성 영역에 대한 전기적 연결을 제공하는 콘택 구조물의 사이즈 또한 감소된다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 감소된 사이즈를 가지면서도, 신뢰성 있는 전기적 연결을 제공하는 콘택 구조물을 갖는 집적회로 장치를 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치는, 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판; 상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되는 게이트 구조물; 상기 게이트 구조물 상에서 상기 제2 방향으로 연장되며, 상기 제1 방향을 따른 상부 폭이 상기 제1 방향을 따른 하부 폭보다 작고, 상기 제2 방향으로 연장되는 경사 측벽(inclined sidewall)을 갖는 가이드 패턴; 상기 게이트 구조물 양 측에 배치되는 소스/드레인 영역; 및 상기 소스/드레인 영역 중 하나와 전기적으로 연결되는 제1 콘택으로서, 상기 제1 콘택의 상측(upper portion)은 상기 가이드 패턴의 상기 경사 측벽과 접촉하는 상기 제1 콘택을 포함한다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치는, 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판; 상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되는 복수의 게이트 구조물들; 상기 복수의 게이트 구조물 각각 상에서 상기 제2 방향으로 연장되며, 상기 제1 방향을 따른 상부 폭이 상기 제1 방향을 따른 하부 폭보다 작고, 상기 제2 방향으로 연장되는 경사 측벽을 갖는 복수의 가이드 패턴들; 상기 복수의 게이트 구조물들 중 인접한 2개의 게이트 구조물들 사이에 배치되는 소스/드레인 영역; 상기 소스/드레인 영역에 전기적으로 연결되는 액티브 콘택으로서, 상기 액티브 콘택의 상측(upper portion)은 상기 인접한 2개의 게이트 구조물들 상에 배치되는 인접한 2개의 가이드 패턴들의 상기 경사 측벽들과 접촉하는, 상기 액티브 콘택; 및 상기 기판 상에서 상기 제1 방향으로 연장되고, 상기 복수의 게이트 구조물들 중 적어도 하나의 일단부와 접촉하는 게이트 분리 절연층;을 포함하고, 상기 복수의 가이드 패턴들 중 적어도 하나는 상기 게이트 분리 절연층 상에서 상기 제2 방향으로 연장된다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치는, 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판; 상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되며, 게이트 전극과 상기 게이트 전극의 양 측벽 상에 배치되는 게이트 스페이서를 포함하는 게이트 구조물; 상기 게이트 구조물 상에서 상기 제2 방향으로 연장되며, 상기 제1 방향을 따른 상부 폭이 상기 제1 방향을 따른 하부 폭보다 작고, 상기 제2 방향으로 연장되는 경사 측벽을 갖는 가이드 패턴; 상기 게이트 구조물 양 측에 배치되는 소스/드레인 영역; 및 상기 소스/드레인 영역 중 하나와 전기적으로 연결되는 제1 콘택으로서, 상기 제1 콘택의 하측(lower portion)은 상기 게이트 스페이서의 측벽과 접촉하고, 상기 제1 콘택의 상측(upper portion)은 상기 가이드 패턴의 상기 경사 측벽과 접촉하는 상기 제1 콘택;을 포함한다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따른 집적회로 장치의 제조 방법은, 기판 상에 제1 방향으로 연장되는 핀형 활성 영역을 형성하는 단계; 상기 기판 상에 상기 핀형 활성 영역과 교차하며 상기 제1 방향에 수직한 제2 방향으로 연장되는 복수의 게이트 구조물들과, 상기 복수의 게이트 구조물들 사이의 공간을 채우는 게이트간 절연층을 형성하는 단계; 상기 복수의 게이트 구조물들 및 상기 게이트간 절연층 상에 상기 제2 방향으로 연장되며 경사 측벽을 갖는 복수의 가이드 패턴들을 형성하는 단계; 상기 게이트간 절연층 상에 상기 복수의 가이드 패턴들 사이의 공간을 채우는 층간 절연막을 형성하는 단계; 상기 복수의 게이트 구조물들 중 인접한 2개의 게이트 구조물들 사이와 상기 복수의 가이드 패턴들 중 인접한 2개의 가이드 패턴들 사이에 제1 콘택홀을 형성하는 단계; 상기 인접한 2개의 게이트 구조물들의 측벽들 및 상기 인접한 2개의 가이드 패턴들의 상기 경사 측벽들이 노출될 때까지 상기 제1 콘택홀을 확장하는 단계; 및 확장된 상기 제1 콘택홀 내에 제1 콘택을 형성하는 단계;를 포함한다.
본 발명의 기술적 사상에 따르면, 제1 콘택의 상부 측벽은 가이드 패턴의 경사 측벽에 접촉하며, 제1 콘택의 하부 측벽은 게이트 구조물의 게이트 스페이서의 측벽에 접촉하고, 상기 상부 측벽과 하부 측벽은 완만하게 연결된다. 따라서, 제1 콘택을 형성하기 위한 제1 콘택홀의 크기가 상대적으로 작더라도 제1 콘택을 구성하는 금속 물질이 상기 제1 콘택홀 내부에 우수한 품질로 매립될 수 있다. 따라서, 상기 제1 콘택은 감소된 사이즈를 가지면서도, 신뢰성 있는 전기적 연결을 제공할 수 있다.
도 1은 예시적인 실시예들에 따른 집적회로 장치를 나타내는 레이아웃도이다.
도 2는 도 1의 A-A' 선 및 B-B' 선을 따른 단면도이고, 도 3은 도 1의 C-C' 선 및 D-D' 선을 따른 단면도이며, 도 4는 도 1의 E-E' 선을 따른 단면도이다.
도 5 및 도 6은 예시적인 실시예들에 따른 집적회로 장치의 단면도이다.
도 7은 예시적인 실시예들에 따른 집적회로 장치의 단면도이다.
도 8은 예시적인 실시예들에 따른 집적회로 장치를 나타내는 레이아웃도이고, 도 9는 도 8의 F-F' 선을 따른 단면도이다.
도 10 내지 도 18은 예시적인 실시예들에 따른 집적회로 장치의 제조 방법을 나타내는 단면도들이다.
이하, 첨부된 도면을 참조하여 본 발명의 기술적 사상의 바람직한 실시예를 상세히 설명하기로 한다.
도 1은 예시적인 실시예들에 따른 집적회로 장치(100)를 나타내는 레이아웃도이다. 도 2는 도 1의 A-A' 선 및 B-B' 선을 따른 단면도이고, 도 3은 도 1의 C-C' 선 및 D-D' 선을 따른 단면도이며, 도 4는 도 1의 E-E' 선을 따른 단면도이다. 도 1에서는 편의상 집적회로 장치(100)의 일부 구성만이 도시되었다.
도 1 내지 도 4를 참조하면, 기판(110)의 상면(110F1)으로부터 핀형 활성 영역(FA)이 돌출되어 배치될 수 있다. 핀형 활성 영역(FA)은 기판(110)의 상면(110F1)에 평행한 제1 방향(도 1의 X 방향)을 따라 연장될 수 있다. 기판(110) 상에는 핀형 활성 영역(FA)의 양 측벽의 하부(lower portion)를 덮는 소자 분리막(112)이 배치될 수 있다.
예시적인 실시예들에 있어서, 기판(110)은 Si 또는 Ge와 같은 IV 족 반도체, SiGe 또는 SiC와 같은 IV-IV 족 화합물 반도체, 또는 GaAs, InAs, 또는 InP와 같은 III-V 족 화합물 반도체를 포함할 수 있다. 기판(110)은 도전 영역, 예를 들면 불순물이 도핑된 웰(well), 또는 불순물이 도핑된 구조물을 포함할 수 있다. 핀형 활성 영역(FA)은 PMOS 트랜지스터를 구성하는 활성 영역일 수 있고, 또는 NMOS 트랜지스터를 구성하는 활성 영역일 수 있다.
핀형 활성 영역(FA) 및 소자 분리막(112) 상에는 기판(110)의 상면(110F1)에 평행하고 상기 제1 방향과 수직한 제2 방향(도 2의 Y 방향)을 따라 연장되는 게이트 구조물(120)이 배치될 수 있다. 게이트 구조물(120)은 게이트 전극(122), 게이트 절연층(124), 게이트 캡핑층(126), 및 게이트 스페이서(128)를 포함할 수 있다.
게이트 전극(122)은 도 1에서 도시된 바와 같이 제2 방향(도 1의 Y 방향)을 따라 연장되는 복수의 게이트 라인(GL) 각각에 대응될 수 있다. 게이트 전극(122)은 도핑된 폴리실리콘, 금속, 또는 이들의 조합을 포함할 수 있다. 예를 들면, 게이트 전극(122)은 Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, TiAlC, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 예시적인 실시예들에 있어서, 게이트 전극(122)은 일함수 금속 함유층 및 갭필 금속막을 포함할 수 있다. 상기 일함수 금속 함유층은 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 상기 갭필 금속막은 W 막 또는 Al 막으로 이루어질 수 있다. 예시적인 실시예들에서, 게이트 전극(122)은 TiAlC/TiN/W의 적층 구조, TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있으나, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다.
게이트 절연층(124)은 게이트 전극(122)의 바닥면과 측벽 상에서 제2 방향을 따라 연장되도록 배치될 수 있다. 게이트 절연층(124)은 게이트 전극(122)과 핀형 활성 영역(FA)와의 사이 및 게이트 전극(122)과 소자 분리막(112)의 상면과의 사이에 개재될 수 있다. 게이트 절연층(124)은 실리콘 산화막, 실리콘 산질화막, 실리콘 산화막보다 높은 유전 상수를 가지는 고유전막, 또는 이들의 조합으로 이루어질 수 있다. 상기 고유전막은 금속 산화물 또는 금속 산화질화물로 이루어질 수 있다. 예를 들면, 게이트 절연층(124)으로서 사용 가능한 고유전막은 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물 (zirconium oxide), 알루미늄 산화물 (aluminum oxide), HfO2 - Al2O3 합금, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
게이트 전극(122) 상에는 게이트 캡핑층(126)이 배치될 수 있다. 게이트 캡핑층(126)은 게이트 전극(122)의 상면을 커버하며 제2 방향(도 2의 Y 방향)을 따라 연장될 수 있다. 예시적인 실시예들에 있어서, 게이트 캡핑층(126)은 실리콘 질화물을 포함할 수 있다.
게이트 전극(122)의 양 측벽 및 게이트 캡핑층(126)의 양 측벽 상에는 게이트 스페이서(128)가 배치될 수 있다. 게이트 스페이서(128)는 게이트 전극(122)의 양 측벽 상에서 게이트 전극(122)의 연장 방향을 따라 연장될 수 있다. 게이트 전극(122)과 게이트 스페이서(128) 사이에는 게이트 절연층(124)이 개재될 수 있다. 예시적인 실시예들에 있어서, 게이트 스페이서(128)는 실리콘 산화물(SiOx), 실리콘 질화물(SiNx), 실리콘 산질화물(SiOxNy), 실리콘 탄화질화물(SiCxNy), 실리콘 산화탄화질화물(SiOxCyNz) 또는 이들의 조합을 포함할 수 있다.
예시적인 실시예들에 있어서, 게이트 스페이서(128)는 서로 다른 물질로 이루어진 복수의 층들을 포함할 수 있다. 도 2에는 게이트 스페이서(128)가 단일의 층으로 구성된 것이 예시적으로 도시되었으나, 이와는 달리, 게이트 스페이서(128)는 게이트 전극(122)의 측벽 상에 순차적으로 적층된 제1 스페이서층(도시 생략), 제2 스페이서층(도시 생략), 및 제3 스페이서층(도시 생략)을 포함할 수 있다. 예시적인 실시예들에서, 상기 제1 스페이서층 및 상기 제3 스페이서층은 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물을 포함할 수 있다. 상기 제2 스페이서층은 상기 제1 스페이서층보다 유전 상수가 낮은 절연 물질을 포함할 수 있고, 예를 들어 상기 제2 스페이서층은 에어 공간을 포함할 수 있다.
게이트 구조물(120)의 양 측의 핀형 활성 영역(FA)에는 소스/드레인 영역(114)이 배치될 수 있다. 소스/드레인 영역(114)은 도핑된 SiGe 막, 도핑된 Ge 막, 도핑된 SiC 막, 또는 도핑된 InGaAs 막으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 게이트 구조물(120) 양 측의 핀형 활성 영역(FA) 일부분을 제거하여 리세스 영역(R1)을 형성하고, 에피택시 공정에 의해 리세스 영역(R1) 내부를 채우는 반도체층을 성장시킴에 의해 소스/드레인 영역(114)이 형성될 수 있다. 예시적인 실시예들에 있어서, 도 3에 예시적으로 도시된 바와 같이 소스/드레인 영역(114)은 복수의 경사면(114F)을 갖는 다각형 형상으로 형성될 수 있다.
예를 들어 핀형 활성 영역(FA)이 NMOS 트랜지스터를 위한 활성 영역일 때, 소스/드레인 영역(114)은 도핑된 SiC을 포함할 수 있고, 핀형 활성 영역(FA)이 PMOS 트랜지스터를 위한 활성 영역일 때 소스/드레인 영역(114)은 도핑된 SiGe를 포함할 수 있다.
또한 소스/드레인 영역(114)은 서로 조성을 달리하는 복수의 반도체층들로 구성될 수 있다. 예를 들어, 소스/드레인 영역(114)은 리세스 영역(R1) 내를 순차적으로 채우는 하부 반도체층(도시 생략), 상부 반도체층(도시 생략) 및 캡핑 반도체층(도시 생략)을 포함할 수 있다. 상기 하부 반도체층, 상부 반도체층 및 캡핑 반도체층은 각각 SiGe를 포함하고 Si과 Ge의 함량을 달리할 수 있다.
도 4에 도시된 바와 같이, 게이트 구조물(120)과 이에 인접한 게이트 구조물(120) 사이에서 소스/드레인 영역(114)을 덮는 게이트간 절연층(132)이 배치될 수 있다. 게이트간 절연층(132)은 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물을 포함할 수 있다.
도 1에 예시적으로 도시된 바와 같이, 복수의 게이트 라인(GL)이 제1 방향을 따라 일정한 간격으로 이격되어 제2 방향을 따라 연장되도록 배치될 수 있고, 복수의 게이트 라인(GL)은 게이트 컷 영역(CR)을 사이에 두고 제2 방향(Y 방향)으로 소정의 간격으로 이격되어 배치될 수 있다. 도 1에는 예를 들어 게이트 컷 영역(CR)이 순서대로 배치된 5개의 게이트 라인(GL)과 만나도록 제1 방향(X 방향)을 따라 연장된 것이 예시적으로 도시되었으나 이에 한정되는 것은 아니며, 집적회로 장치(100)에서 요구되는 바에 따라 게이트 컷 영역(CR)의 길이는 달라질 수 있다.
게이트 컷 영역(CR) 내에는 게이트 분리 절연층(134)이 배치될 수 있다. 게이트 분리 절연층(134)은 게이트 구조물(120)의 일 단부와 접촉하도록 배치될 수 있고, 게이트 분리 절연층(134)은 게이트 구조물(120)의 상면과 동일한 레벨에 위치하는 상면을 가질 수 있다. 게이트 분리 절연층(134)은 예를 들어 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물을 포함할 수 있다.
게이트 구조물(120), 게이트간 절연층(132) 및 게이트 분리 절연층(134) 상에는 식각 정지막(136)이 배치될 수 있다. 식각 정지막(136)은 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물을 포함할 수 있다.
식각 정지막(136) 상에는 가이드 패턴(140)이 배치될 수 있다. 도 1에 도시되는 바와 같이, 가이드 패턴(140)은 복수의 게이트 라인(GL) 각각의 상부에 배치되어 제2 방향(Y 방향)으로 연장될 수 있다. 가이드 패턴(140)은 게이트 컷 영역(CR)과 오버랩되도록 배치될 수 있다. 가이드 패턴(140)은 게이트 분리 절연층(134) 상에서 제2 방향으로 연장되도록 배치될 수 있다.
가이드 패턴(140)은 제2 방향(Y 방향)으로 연장되는 한 쌍의 경사 측벽(140S)을 포함할 수 있다. 한 쌍의 경사 측벽(140S)은 기판(110)의 상면(110F1)에 대하여 제1 경사각으로 기울어질 수 있고, 상기 제1 경사각은 약 80도 이하일 수 있다. 가이드 패턴(140)은 상면에서의 제1 방향을 따른 제1 폭(W11)이 바닥면에서의 제1 방향을 따른 제2 폭(W12)보다 더 작을 수 있다. 한 쌍의 경사 측벽(140S)의 바닥부는 식각 정지막(136)을 사이에 두고 게이트 스페이서(128)의 측벽과 정렬되도록 배치될 수 있다. 즉, 가이드 패턴(140)의 바닥면에서의 제2 폭(W12)이 게이트 구조물(120)의 제1 방향을 따른 폭과 실질적으로 동일할 수 있고, 가이드 패턴(140)은 게이트 구조물(120)과 수직으로 오버랩되도록 배치될 수 있다.
예시적인 실시예들에서, 가이드 패턴(140)은 실리콘 질화물, 실리콘 산화물, 또는 실리콘 산질화물을 포함할 수 있다. 가이드 패턴(140)은 식각 정지막(136) 및/또는 게이트간 절연층(132)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들어, 식각 정지막(136) 및 게이트간 절연층(132)은 실리콘 산화물을 포함하고, 가이드 패턴(140)은 실리콘 질화물을 포함할 수 있다.
식각 정지막(136) 및 가이드 패턴(140) 상에는 층간 절연막(138)이 배치될 수 있다. 층간 절연막(138)은 가이드 패턴(140)의 경사 측벽(140S)을 접촉하도록 배치될 수 있다. 층간 절연막(138)은 실리콘 질화물, 실리콘 산화물 또는 실리콘 산질화물, TEOS 막, 또는 약 2.2 ~ 2.4의 초저유전상수를 가지는 ULK (ultra low K) 막을 포함할 수 있다.
소스/드레인 영역(114) 상에는 액티브 콘택(CA)이 배치되고, 게이트 구조물(120) 상에는 게이트 콘택(CB)이 배치될 수 있다.
액티브 콘택(CA)은 액티브 콘택 플러그(152) 및 도전 배리어층(154)을 포함할 수 있다. 예시적인 실시예들에서, 액티브 콘택 플러그(152)는 코발트(Co), 텅스텐(W), 니켈(Ni), 루테늄(Ru), 구리(Cu), 알루미늄(Al), 이들의 실리사이드, 또는 이들의 합금 중 적어도 하나로 이루어질 수 있다. 예를 들어, 액티브 콘택 플러그(152)는 코발트(Co)를 포함할 수 있다. 도전 배리어층(154)은 액티브 콘택 플러그(152)의 측벽을 둘러싸도록 배치될 수 있고, 도전 배리어층(154)은 액티브 콘택 플러그(152)와 게이트 스페이서(128) 사이에, 액티브 콘택 플러그(152)와 가이드 패턴(140) 사이에, 및 액티브 콘택 플러그(152)와 소스/드레인 영역(114) 사이에 개재될 수 있다. 도전 배리어층(154)은 Ti, Ta, TiN, TaN, 또는 이들의 조합으로 이루어질 수 있다.
예시적인 실시예들에 있어서, 액티브 콘택(CA)은 제1 콘택(CA1) 및 제2 콘택(CA2)을 포함할 수 있다. 제1 콘택(CA1)은 인접한 2개의 게이트 구조물(120) 사이에서 소스/드레인 영역(114) 상에 배치될 수 있고, 제2 콘택(CA2)은 인접한 2개의 게이트 구조물(120) 사이로부터 게이트 분리 절연층(134)의 일 측까지 제2 방향을 따라 연장될 수 있다.
제1 콘택(CA1)은 게이트 구조물(120)의 상면보다 낮은 레벨에 위치하는 제1 부분(CA1a)과 제1 부분보다 높은 레벨 상에 위치하는 제2 부분(CA1b)을 포함할 수 있다. 제1 콘택(CA1)의 제1 부분(CA1a)의 측벽은 게이트 스페이서(128)와 접촉할 수 있고, 기판(110)의 상면(110F1)에 실질적으로 수직하게 연장될 수 있다. 제1 콘택(CA1)의 제2 부분(CA1b)의 측벽은 가이드 패턴(140)과 접촉할 수 있고, 가이드 패턴(140)의 경사 측벽(140S)에 대응하여 기판(110)의 상면(110F1)에 대하여 기울어질 수 있다. 예를 들어, 제1 콘택(CA1)의 제2 부분(CA1b)의 측벽은 기판(110)의 상면(110F1)에 대하여 약 80도 이하의 경사각으로 기울어질 수 있다.
도 2에 예시적으로 도시된 것과 같이, 제1 콘택(CA1)의 제1 부분(CA1a)의 측벽과 제2 부분(CA1b)의 측벽은 완만하게 연결될 수 있다. 예를 들어, 제1 부분(CA1a)의 측벽과 제2 부분(CA1b)의 측벽은 표면 레벨의 급격한 변화를 동반하는 단차부(stepped portion), 돌출부, 또는 킹크(kink)의 형상을 포함하지 않을 수 있다. 가이드 패턴(140)의 경사 측벽(140S)의 바닥면이 게이트 스페이서(128)의 측벽과 정렬됨에 따라, 게이트 스페이서(128)에 접촉하는 제1 부분(CA1a)의 측벽과 경사 측벽(140S)에 접촉하는 제2 부분(CA1b)의 측벽은 연속적으로 연결될 수 있다.
도 2에 예시적으로 도시된 것과 같이, 제1 콘택(CA1)의 제1 부분(CA1a)은 제1 폭(W21)을 가지며, 제1 콘택(CA1)의 제2 부분(CA1b)은 제2 폭(W22)을 가지고, 제2 폭(W22)은 제1 폭(W21)보다 더 클 수 있다. 즉, 제1 콘택(CA1)은 상부 폭(예를 들어, 제2 폭(W22))이 하부 폭(예를 들어, 제1 폭(W21))보다 더 큰 프로파일을 가질 수 있다.
예를 들어, 코발트(Co) 등의 금속 물질을 제1 콘택홀(CA1H) 내에 채움에 의해 제1 콘택(CA1)이 형성될 때, 제1 콘택홀(CA1H)의 폭이 좁거나 및/또는 제1 콘택홀(CA1H)의 측벽에 표면 레벨의 급격한 변화를 갖는 킹크 등이 형성된다면, 상기 금속 물질이 제1 콘택홀(CA1H) 내부를 완전히 채우지 못할 수 있거나, 또는 상기 금속 물질의 막 질이 우수하지 못할 수 있다. 그러나, 예시적인 실시예들에 따르면, 제1 콘택홀(CA1H)의 상부 폭이 하부 폭보다 더 크며, 제1 콘택홀(CA1H)의 측벽은 킹크 등이 없이 완만하게 연결되는 프로파일을 가질 수 있다. 따라서, 상기 금속 물질이 제1 콘택홀(CA1H) 내부를 완전히 채우거나 제1 콘택홀(CA1H) 내에 형성되는 제1 콘택(CA1)의 막질이 우수할 수 있다.
제2 콘택(CA2)은 인접한 2개의 게이트 구조물(120) 사이의 소스/드레인 영역(114) 상에 배치되며, 제2 방향을 따라 연장되어 게이트 분리 절연층(134)과 접촉할 수 있다. 도 1에 도시된 바와 같이, 평면도에서 제2 콘택(CA2)은 제1 방향(X 방향)으로 이격된 2 개의 게이트 라인(GL) 사이와, 상기 2개의 게이트 라인(GL)으로부터 제2 방향(Y 방향)으로 이격된 2개의 게이트 라인(GL) 사이에 배치되며, 제2 콘택(CA2)은 게이트 컷 영역(CR)과 오버랩될 수 있다.
제2 콘택(CA2)은 게이트 구조물(120)의 측벽과 마주보거나 접촉하는 제3 부분(CA2a)과, 게이트 분리 절연층(134)과 마주보거나 접촉하는 제4 부분(CA2b)을 포함할 수 있다. 제2 콘택(CA2)의 제3 부분(CA2a)의 제1 방향을 따른 제1 폭(W31)은 제2 콘택(CA2)의 제4 부분(CA2b)의 제1 방향을 따른 제2 폭(W32)보다 작을 수 있다.
예를 들어, 제1 콘택(CA1) 및 제2 콘택(CA2)을 형성하기 위한 공정에서, 우선 소스/드레인 영역(114)의 상면 일부분을 노출하는 콘택홀(CA1H, CA2H)을 형성한 후, 이후 콘택홀(CA1H, CA2H)을 확장하고, 확장된 콘택홀(CA1H, CA2H) 내에 금속 물질을 채움에 의해 제1 콘택(CA1) 및 제2 콘택(CA2)을 형성할 수 있다. 콘택홀(CA1H, CA2H)을 확장하기 위한 공정에서, 게이트 스페이서(128)와 게이트 분리 절연층(134) 사이의 식각 속도 차이에 의해 게이트 분리 절연층(134) 부분이 더 많이 제거될 수 있고, 이에 따라 제2 콘택(CA2)의 제4 부분(CA2b)의 제2 폭(W32)이 제3 부분(CA2a)의 제1 폭(W31)보다 더 클 수 있다.
게이트 콘택(CB)은 게이트 콘택 플러그(156) 및 도전 배리어층(158)을 포함할 수 있다. 예시적인 실시예들에서, 게이트 콘택 플러그(156)는 코발트(Co), 텅스텐(W), 니켈(Ni), 루테늄(Ru), 구리(Cu), 알루미늄(Al), 이들의 실리사이드, 또는 이들의 합금 중 적어도 하나로 이루어질 수 있다. 예를 들어, 게이트 콘택 플러그(156)는 코발트(Co)를 포함할 수 있다. 도전 배리어층(158)은 게이트 콘택 플러그(156)의 측벽을 둘러싸도록 배치될 수 있고, 도전 배리어층(154)은 게이트 콘택 플러그(156)와 게이트 스페이서(128) 사이에, 게이트 콘택 플러그(156)와 게이트 전극(122) 사이에, 및 게이트 콘택 플러그(156)와 가이드 패턴(140) 사이에 개재될 수 있다. 도전 배리어층(158)은 Ti, Ta, TiN, TaN, 또는 이들의 조합으로 이루어질 수 있다.
예시적인 실시예들에 있어서, 게이트 콘택(CB)은 제3 콘택(CB1) 및 제4 콘택(CB2)을 포함할 수 있다. 제3 콘택(CB1)은 가이드 패턴(140)을 관통하여 게이트 구조물(120) 상에 배치될 수 있다. 제4 콘택(CB2)은 게이트 구조물(120)과, 게이트 구조물(120)에 인접한 이웃 게이트 구조물(120) 상에 배치될 수 있고, 제4 콘택(CB2)의 측벽 일부분을 가이드 패턴(140)이 둘러쌀 수 있다. 도 1에 예시적으로 도시된 바와 같이, 평면도에서 제3 콘택(CB1)은 하나의 게이트 라인(GL)과 오버랩되도록 배치되며, 제4 콘택(CB2)은 제1 방향(X 방향)으로 이격된 2개의 게이트 라인(GL) 모두 및 2개의 게이트 라인(GL) 사이의 게이트간 절연층(132)과 오버랩되도록 배치될 수 있다.
선택적으로, 소스/드레인 영역(114)과 액티브 콘택(CA) 사이에는 실리사이드층(도시 생략)이 형성될 수 있다. 상기 실리사이드층은 코발트 실리사이드, 니켈 실리사이드, 텅스텐 실리사이드 등과 같은 금속 실리사이드 물질을 포함할 수 있다.
가이드 패턴(140) 및 층간 절연막(138) 상에는 비아(도시 생략) 및 상부 배선(도시 생략)이 더 형성될 수 있다. 예를 들어, 상기 비아는 액티브 콘택(CA)과 상기 상부 배선 사이, 또는 게이트 콘택(CB)과 상기 상부 배선 사이에 배치될 수 있다. 상기 상부 배선은 서로 다른 레벨에 위치하는 복수의 배선층들의 적층 구조를 포함할 수 있고, 상기 비아 및 상기 상부 배선을 둘러싸는 상부 층간 절연막(도시 생략)이 더 형성될 수 있다.
전술한 집적회로 장치(100)에 따르면, 게이트 구조물(120) 상에 경사 측벽(140S)을 갖는 가이드 패턴(140)이 배치될 수 있고, 게이트 구조물(120) 및 가이드 패턴(140)과 접촉하는 제1 콘택(CA1)은 상부 폭이 하부 폭보다 크며, 상부 측벽과 하부 측벽이 완만하게 연결되는 측벽 프로파일을 가질 수 있다. 따라서, 제1 콘택홀(CA1H)에 금속 물질을 채우는 공정에서, 상기 금속 물질이 제1 콘택홀(CA1H) 내부를 완전히 채우거나 제1 콘택홀(CA1H) 내에 형성되는 제1 콘택(CA1)의 막질이 우수할 수 있다. 따라서, 제1 콘택(CA1)은 감소된 사이즈를 가지면서도, 신뢰성 있는 전기적 연결을 제공할 수 있다.
도 5 및 도 6은 예시적인 실시예들에 따른 집적회로 장치(100A)의 단면도이다. 도 5은 도 1의 A-A' 선 및 B-B' 선을 따른 단면에 대응되는 단면도이고, 도 6은 도 1의 E-E' 선을 따른 단면에 대응되는 단면도이다. 도 5 및 도 6에서 도 1 내지 도 4에서와 동일한 참조부호는 동일한 구성요소를 의미한다. 도 5 및 도 6에서는 식각 정지막(136)이 형성되지 않은 점을 제외하면, 도 1 내지 도 4에서 설명한 집적회로 장치(100)와 유사하다.
도 5 및 도 6을 참조하면, 가이드 패턴(140)은 게이트 구조물(120)의 직접 상부에 배치될 수 있다. 가이드 패턴(140)의 바닥면은 게이트 캡핑층(126)의 상면 및 게이트 스페이서(128)의 상면과 접촉할 수 있다.
제1 콘택(CA1)의 제1 부분(CA1a)은 게이트 스페이서(128)와 접촉하며 기판(110)의 상면(110F1)에 실질적으로 수직하게 연장될 수 있고, 제1 콘택(CA1)의 제2 부분(CA1b)은 가이드 패턴(140)의 경사 측벽(140S)과 접촉하며 경사 측벽(140S)의 기울기에 따라, 기판(110)의 상면(110F1)에 대하여 약 80도 이하의 경사각으로 기울어질 수 있다. 가이드 패턴(140)의 바닥면 폭(W12)은 게이트 구조물(120)의 폭과 실질적으로 동일할 수 있고, 경사 측벽(140S)의 바닥부가 게이트 구조물(120)의 측벽과 정렬될 수 있다. 이에 따라, 제1 콘택(CA1)의 제1 부분(CA1a)의 측벽과 제2 부분(CA1b)의 측벽은 급격한 표면 레벨의 변화를 동반하는 킹크 등의 형성 없이 완만하게 연결될 수 있다.
도 7은 예시적인 실시예들에 따른 집적회로 장치(100B)의 단면도이다. 도 7은 도 1의 A-A' 선 및 B-B' 선을 따른 단면에 대응되는 단면도이다. 도 7에서 도 1 내지 도 6에서와 동일한 참조부호는 동일한 구성요소를 의미한다. 도 7에서는 가이드 패턴(140A)의 형상을 제외하면, 도 1 내지 도 4에서 설명한 집적회로 장치(100)와 유사하다.
도 7을 참조하면, 가이드 패턴(140A)은 제2 방향(Y 방향)으로 한 쌍의 경사 측벽(140SA)을 포함할 수 있다. 가이드 패턴(140A)은 하부의 폭(W12)이 상부의 폭(W11)보다 더 클 수 있고, 한 쌍의 경사 측벽(140SA)의 적어도 일부분은 곡면을 이룰 수 있다. 한 쌍의 경사 측벽(140SA)은 기판(110)의 상면(110F1)에 대한 기울기가 점진적으로 감소되도록 배치될 수 있고, 게이트 구조물(120)의 측벽과 접촉하는 제1 콘택(CA1)의 제1 부분(CA1a)의 측벽이, 경사 측벽(140SA)과 접촉하는 제1 콘택(CA1)의 제2 부분(CA1b)의 측벽에 완만하게 연결될 수 있다.
전술한 집적회로 장치(100B)에 따르면, 제1 콘택홀(CA1H)에 금속 물질을 채워 제1 콘택(CA1)을 형성하기 위한 공정에서, 상기 금속 물질이 제1 콘택홀(CA1H) 내부를 완전히 채우거나 제1 콘택홀(CA1H) 내에 형성되는 제1 콘택(CA1)의 막질이 우수할 수 있다. 따라서, 제1 콘택(CA1)은 감소된 사이즈를 가지면서도, 신뢰성 있는 전기적 연결을 제공할 수 있다.
도 8은 예시적인 실시예들에 따른 집적회로 장치(100C)를 나타내는 레이아웃도이고, 도 9는 도 8의 F-F' 선을 따른 단면도이다. 도 8에서는 편의상 집적회로 장치(100C)의 일부 구성만이 도시되었다.
도 8 및 도 9를 참조하면, 복수의 게이트 라인(GL)의 일 측 상에 고립 구조물(isolation structure)(IS)이 제2 방향(Y 방향)을 따라 연장될 수 있다. 고립 구조물(IS)은 게이트 구조물(120)의 일 측 상에서 핀형 활성 영역(FA)에 형성되는 트렌치(110T) 내벽 상에 형성될 수 있다. 고립 구조물(IS)은 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산질화물을 포함할 수 있다.
도 9에는 고립 구조물(IS)이 단일층으로 형성된 것으로 예시적으로 도시되었으나, 이와는 달리 고립 구조물(IS)은 복수 층들을 포함하는 적층 구조로 형성될 수도 있다. 일 예시에 따르면, 고립 구조물(IS)은 트렌치(110T)의 내벽 상에 콘포말하게 형성되는 계면층(도시 생략)과, 상기 계면층 상에서 트렌치(110T) 내부를 채우는 매립 절연층(도시 생략)을 포함할 수 있다. 다른 예시에 따르면, 고립 구조물(IS)은 트렌치(110T)의 내부를 채우며 핀형 활성 영역(FA)의 상면과 유사한 상면 레벨을 갖는 하부 매립층(도시 생략)과, 상기 하부 매립층 상에 배치되며 게이트 구조물(120)의 상면과 유사한 상면 레벨을 갖는 상부 매립층(도시 생략)을 포함할 수 있다. 또 다른 예시에 따르면, 고립 구조물(IS)은 핀형 활성 영역(FA)의 상면 및 양 측벽을 커버하며, 제2 방향(Y 방향)으로 연장되는 한 쌍의 절연 스페이서(도시 생략)와, 상기 한 쌍의 절연 스페이서 사이에서 트렌치(110T)의 내부를 채우며 상기 제2 방향으로 연장되는 매립 절연층(도시 생략)을 포함할 수 있다. 그러나, 고립 구조물(IS)의 구조가 전술한 바에 한정되는 것은 아니다.
고립 구조물(IS) 상에는 가이드 패턴(140)이 제2 방향을 따라 연장될 수 있다. 도 8에 예시적으로 도시된 평면도에서, 복수의 게이트 라인(GL)이 제1 방향을 따라 소정의 간격으로 이격되어 배치되며, 복수의 게이트 라인(GL) 중 하나와 이에 인접한 고립 구조물(IS)은 복수의 게이트 라인(GL) 사이의 이격 거리와 실질적으로 동일한 이격 거리로 이격되어 배치될 수 있다. 가이드 패턴(140)은 복수의 게이트 라인(GL) 및 고립 패턴(IS)과 오버랩되도록 배치될 수 있다.
도 10 내지 도 18은 예시적인 실시예들에 따른 집적회로 장치(100)의 제조 방법을 나타내는 단면도들이다.
도 10 내지 도 18에서는 도 1의 A-A' 및 B-B' 선을 따른 단면들에 대응하는 단면들이 공정 순서에 따라 도시된다. 도 10 내지 도 18에서, 도 1 내지 도 9에서와 동일한 참조부호는 동일한 구성요소를 의미한다.
도 10을 참조하면, 기판(110)의 활성 영역 중 일부 영역을 식각하여 기판(110)의 상면(110F1)으로부터 수직 방향으로 돌출되고 일 방향(도 10의 X 방향)으로 연장되는 핀형 활성 영역(FA)을 형성할 수 있다.
기판(110) 상에 핀형 활성 영역(FA)의 양 측벽을 덮는 소자 분리막(112)을 형성할 수 있다. 도시되지는 않았지만, 소자 분리막(112)과 핀형 활성 영역(FA)의 사이에는 핀형 활성 영역(FA)의 측벽을 콘포말하게 덮는 계면막(도시 생략)이 더 형성될 수 있다.
이후, 기판(110) 상에 희생 게이트 절연층(도시 생략), 희생 게이트 도전층(도시 생략), 하드 마스크 패턴(216)을 순차적으로 형성한 후 하드 마스크 패턴(216)을 식각 마스크로 사용하여 상기 희생 게이트 도전층 및 상기 희생 게이트 절연층을 패터닝하여 희생 게이트(214)와 희생 게이트 절연층 패턴(212)을 형성할 수 있다.
이후, 하드 마스크 패턴(216), 희생 게이트(214)와 희생 게이트 절연층 패턴(212)을 커버하는 스페이서 절연층(도시 생략)을 ALD (atomic layer deposition) 공정 또는 CVD (chemical vapor deposition) 공정을 사용하여 형성하고, 상기 스페이서 절연층에 이방성 식각 공정을 수행하여 하드 마스크 패턴(216), 희생 게이트(214)와 희생 게이트 절연층 패턴(212)의 측벽 상에 게이트 스페이서(128)를 형성할 수 있다. 예를 들어, 게이트 스페이서(128)는 실리콘 질화물을 포함할 수 있으나, 이에 한정되는 것은 아니다.
여기서, 희생 게이트 절연층 패턴(212), 희생 게이트(214), 하드 마스크 패턴(216), 및 게이트 스페이서(128)를 희생 게이트 구조물(210)로 지칭하도록 한다.
도 11을 참조하면, 희생 게이트 구조물(210) 양측의 핀형 활성 영역(FA)의 일부를 식각하여 리세스 영역(R1)를 형성하고, 리세스 영역(R1) 내부에 소스/드레인 영역(114)을 형성할 수 있다.
예시적인 실시예들에 있어서, 소스/드레인 영역(114)은 리세스 영역(R1) 내벽에 노출된 핀형 활성 영역(FA)의 측벽 및 기판(110) 상면을 시드층으로 하여 에피택시 공정에 의해 형성될 수 있다. 상기 에피택시 공정은 VPE (vapor-phase epitaxy), UHV-CVD (ultra-high vacuum chemical vapor deposition) 등과 같은 CVD 공정, 분자빔 에피택시 (molecular beam epitaxy), 또는 이들의 조합일 수 있다. 상기 에피택시 공정에서, 소스/드레인 영역(114) 형성에 필요한 전구체로서 액상 또는 기상의 전구체를 사용할 수 있다.
소스/드레인 영역(114)은 상기 에피택시 공정에서의 성장 조건을 조절함에 의해 다양한 형상을 갖도록 형성될 수 있다. 예를 들어, 소스/드레인 영역(114)은 소정의 각도로 기울어진 경사면들(114F)(도 3 참조)이 서로 연결되어 형성되는 다각형 형상을 가질 수 있다. 그러나 소스/드레인 영역(114)의 형상이 이에 한정되는 것은 아니며, 핀형 활성 영역(FA)의 물질, 소스/드레인 영역(114)의 물질, 기판(110) 상에 형성되는 트랜지스터의 종류, 에피택시 공정의 조건들 등에 따라 다양한 형상을 가질 수 있다.
이후, 기판(110) 상에 게이트 스페이서(128) 및 하드 마스크 패턴(216)을 덮는 절연층(도시 생략)을 형성하고, 게이트 스페이서(128) 및 하드 마스크 패턴(216) 상면이 노출될 때까지 상기 절연층을 평탄화하여 게이트간 절연층(132)을 형성할 수 있다.
도 12를 참조하면, 하드 마스크 패턴(216)(도 11 참조), 희생 게이트(214)(도 11 참조) 및 희생 게이트 절연층 패턴(212)(도 11 참조)을 제거하여 게이트 스페이서(128) 측벽 사이에 정의되는 게이트 공간(도시 생략)을 형성하고, 상기 게이트 공간의 내벽 상에 게이트 절연층(124)을 형성할 수 있다.
이후 게이트 절연층(124) 상에 상기 게이트 공간 내부를 채우는 도전층(도시 생략)을 형성한 후, 상기 도전층 상부를 에치백함에 의해 게이트 전극(122)을 형성할 수 있다. 이후, 게이트 전극(122) 및 게이트간 절연층(132) 상에 상기 게이트 공간의 잔류 부분을 채우는 절연층(도시 생략)을 형성한 후, 게이트간 절연층(132) 또는 게이트 스페이서(128) 상면이 노출될 때까지 상기 절연층 상부를 제거하여 상기 게이트 공간을 채우는 게이트 캡핑층(126)을 형성할 수 있다.
예시적인 실시예들에 있어서, 희생 게이트 구조물(210)의 제거 공정은 습식 식각 공정을 포함할 수 있다. 상기 습식 식각을 수행하기 위하여 예를 들면, HNO3, DHF (diluted fluoric acid), NH4OH, TMAH (tetramethyl ammonium hydroxide), KOH, 또는 이들의 조합으로 이루어지는 에천트를 사용할 수 있다.
여기서, 게이트 전극(122), 게이트 절연층(124), 게이트 캡핑층(126) 및 게이트 스페이서(128)를 게이트 구조물(120)로 지칭하도록 한다. 게이트 구조물(120)을 형성하기 위한 에치백 공정 등에서 게이트간 절연층(132) 및 게이트 스페이서(128)의 상부가 소정의 양만큼 제거되어 게이트간 절연층(132) 및 게이트 스페이서(128)의 높이가 낮아질 수 있다.
도 13을 참조하면, 게이트 구조물(120) 및 게이트간 절연층(132) 상에 게이트 컷 영역(CR)(도 1 참조)을 노출하는 개구부(도시 생략)를 구비하는 마스크 패턴(도시 생략)을 형성하고, 상기 마스크 패턴을 식각 마스크로 사용하여 게이트 컷 영역(CR)과 오버랩되는 게이트 구조물(120)의 일부분을 제거할 수 있다. 이후, 게이트 구조물(120)의 상기 일부분이 제거된 공간을 채우도록 절연층(도시 생략)을 형성하고, 상기 절연층 상부를 다시 에치백하여 게이트 분리 절연층(134)을 형성할 수 있다.
다른 실시예들에 있어서, 게이트 구조물(120)을 형성하기 위한 공정 이전에 희생 게이트 구조물(210) 중 게이트 컷 영역(CR)과 오버랩되는 부분을 제거하고, 상기 제거된 공간을 채우는 게이트 분리 절연층(134)을 형성할 수 있다. 이후, 하드 마스크 패턴(216)(도 11 참조), 희생 게이트(214)(도 11 참조) 및 희생 게이트 절연층 패턴(212)(도 11 참조)을 제거하여 게이트 스페이서(128) 측벽 사이에 정의되는 게이트 공간(도시 생략)을 형성하고, 상기 게이트 공간의 내벽 상에 게이트 절연층(124), 게이트 전극(122), 및 게이트 캡핑층(126)을 순차적으로 형성할 수도 있다.
도 14를 참조하면, 게이트 구조물(120) 및 게이트간 절연층(132)을 덮는 식각 정지막(136)을 형성하고, 식각 정지막(136) 상에 가이드 패턴층(140L)을 형성할 수 있다. 가이드 패턴층(140L)은 식각 정지막(136)에 대하여 식각 선택비를 갖는 물질을 사용하여 형성할 수 있다. 예를 들어, 식각 정지막(136)이 실리콘 산화물을 포함하고, 가이드 패턴층(140L)은 실리콘 질화물을 포함할 수 있다.
식각 정지막(136) 및 가이드 패턴층(140L)은 게이트 분리 절연층(134) 상면을 또한 덮을 수 있다.
이후, 가이드 패턴층(140L) 상에 마스크 패턴(220)을 형성할 수 있다. 마스크 패턴(220)은 게이트 구조물(120)과 오버랩되는 위치에 배치되며 제2 방향(Y 방향)을 따라 연장될 수 있다.
도 15를 참조하면, 마스크 패턴(220)을 식각 마스크로 사용하여 가이드 패턴층(140L)(도 14 참조)을 식각하여 가이드 패턴(140)을 형성할 수 있다.
예시적인 실시예들에 있어서, 가이드 패턴(140)을 형성하기 위한 공정은 경사 식각(taper etching) 방법일 수 있다. 예를 들어, 이온 빔 식각 공정, 또는 경사 플라즈마 식각 공정을 사용하여 가이드 패턴(140)을 형성할 수 있다. 가이드 패턴(140)은 한 쌍의 경사 측벽(140S)을 구비할 수 있으며, 상부 폭(W11)이 하부 폭(W12)보다 작을 수 있다.
한편, 가이드 패턴(140) 형성 공정에서 식각 정지막(136)은 식각되지 않고 잔류할 수 있고, 이에 따라 식각 정지막(136) 하부의 게이트 스페이서(128) 또는 게이트간 절연층(132)이 손상되지 않을 수 있다.
이후, 마스크 패턴(220)은 제거될 수 있다. 가이드 패턴(140) 및 식각 정지막(136) 상에 층간 절연막(138)을 형성할 수 있다. 층간 절연막(138)은 가이드 패턴(140) 상면을 완전히 덮을 수 있다.
도 16을 참조하면, 층간 절연막(138) 상에 마스크 패턴(도시 생략)을 형성하고, 상기 마스크 패턴을 식각 마스크로 사용하여 층간 절연막(138), 식각 정지막(136) 및 게이트간 절연층(132)을 식각하여 소스/드레인 영역(114)의 상면의 일부분을 노출하는 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 형성할 수 있다.
이때, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)은 게이트 스페이서(128)의 측벽을 노출하지 않도록 상대적으로 작은 폭(W4)으로 형성될 수 있다. 예를 들어, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)의 폭(W4)은 인접한 2개의 게이트 구조물들(120) 사이의 간격보다 작을 수 있다.
제1 콘택홀(CA1H)은 인접한 게이트 구조물들(120) 사이에서 소스/드레인 영역(114)의 상면을 노출할 수 있다. 제2 콘택홀(CA2H)은 인접한 게이트 구조물들(120) 사이에서 소스/드레인 영역(114)의 상면을 노출하고, 게이트 분리 절연층(134)을 관통하여 소자 분리막(112)의 상면을 노출할 수 있다.
도 17을 참조하면, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)에 의해 노출되는 게이트간 절연층(132), 게이트 분리 절연층(134), 및 층간 절연막(138)을 제거하여 가이드 패턴(140)과 게이트 스페이서(128)의 측벽을 노출시킬 수 있다.
예시적인 실시예들에 있어서, 상기 제거 공정은 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 측방으로 확장시키는 공정일 수 있다. 예를 들어, 상기 제거 공정은 게이트 스페이서(128) 및 가이드 패턴(140)에 대비하여 게이트간 절연층(132), 게이트 분리 절연층(134), 및 층간 절연막(138)이 상대적으로 높은 식각 속도를 갖는 식각 조건을 사용한 식각 공정에 의해 수행될 수 있다. 예를 들어, 상기 제거 공정은 습식 식각 공정 또는 건식 식각 공정일 수 있다.
상기 식각 공정에서, 게이트간 절연층(132), 게이트 분리 절연층(134), 및 층간 절연막(138)의 식각에 따라 식각 정지막(136) 또한 함께 제거될 수 있고, 이에 따라 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)의 측벽은 상대적으로 완만하게 연결될 수 있다.
상기 식각 공정에서, 게이트 분리 절연층(134)은 상대적으로 높은 식각 속도를 가짐에 따라, 제2 콘택홀(CA2H)에 의해 노출된 게이트 분리 절연층(134) 부분은 상대적으로 많이 제거될 수 있다. 따라서, 게이트 분리 절연층(134)의 측벽 사이에서 정의되는 제2 콘택홀(CA2H) 부분의 폭(W42)은 게이트 스페이서(128)의 측벽 사이에서 정의되는 제2 콘택홀(CA2H) 부분의 폭(W41)보다 클 수 있다. 즉, 도 17에 도시된 것과 같이, 게이트 분리 절연층(134)의 측벽 사이에서 정의되는 제2 콘택홀(CA2H) 부분은 가이드 패턴(140)의 경사 측벽(140S) 바닥부로부터 내측으로 리세스되는 측벽 프로파일을 보일 수 있다.
일반적으로, 집적회로 장치의 다운 스케일 경향에 따라 복수의 게이트 라인들(GL) 사이의 간격이 작아지고, 게이트 라인들(GL) 사이에 형성되는 콘택들의 폭도 작아진다. 작은 폭의 콘택들에 의해 콘택 저항이 증가되거나 콘택 형성이 어려워진다. 따라서, 콘택의 폭을 최대화하기 위하여 게이트 캡핑층(126)과 게이트 스페이서(128)를 자기정렬 마스크로 사용한 자기정렬 콘택이 채용되었다. 그러나 자기정렬 콘택 형성을 위한 식각 공정에서의 게이트 전극(122)의 손상을 방지하거나 식각 공정 마진을 확보하기 위하여 게이트 캡핑층(126)과 게이트 스페이서(128)를 상대적으로 큰 높이로 형성할 필요가 있어 후속 공정의 난이도가 증가하는 문제가 있다.
그러나, 예시적인 실시예들에 따르면, 상대적으로 작은 폭을 갖는 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 형성한 후, 가이드 패턴(140)과 게이트 스페이서(128)의 측벽이 노출될 때까지 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 측방으로 확장할 수 있다. 따라서, 자기정렬 콘택 식각 방식을 채용하는 경우에 비하여, 게이트 캡핑층(126)과 게이트 스페이서(128)를 상대적으로 작은 높이로 형성할 수 있고, 이에 따라 후속 공정에서의 공정 정밀도가 향상될 수 있다.
도 18을 참조하면, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)의 내벽 상에 Ti, Ta, TiN, TaN, 또는 이들의 조합을 사용하여 도전 배리어층(154)을 각각 형성할 수 있다.
이후, 도전 배리어층(154) 상에 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 채우는 액티브 콘택 플러그(152)를 형성하고, 가이드 패턴(140)의 상면이 노출될 때까지 액티브 콘택 플러그(152) 및 도전 배리어층(154)의 상부를 제거함에 의해 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H) 내에 각각 제1 콘택(CA1) 및 제2 콘택(CA2)을 각각 형성할 수 있다.
액티브 콘택 플러그(152)는 코발트(Co), 텅스텐(W), 니켈(Ni), 루테늄(Ru), 구리(Cu), 알루미늄(Al), 이들의 실리사이드, 또는 이들의 합금을 사용하여 형성될 수 있다. 예를 들어, 액티브 콘택 플러그(152)가 코발트(Co)를 포함할 때, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)의 프로파일에 의해 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H) 내부를 채우는 액티브 콘택 플러그(152)의 막 품질이 우수할 수 있다.
예를 들어, 콘택홀의 상부 폭이 좁거나, 콘택홀의 측벽에 급격한 레벨 차이를 가져오는 킹크, 스텝부 등의 구조들이 형성되는 경우, 액티브 콘택 플러그(152)의 형성을 위한 코발트 소스 물질이 상기 콘택홀 내부로 원활하게 공급되지 못하거나, 상기 구조들 상에 비정상적인 금속층이 형성되거나, 및/또는 상기 구조들 근처에 보이드(void)가 형성될 수 있고, 이에 따라 상기 콘택홀 내부가 코발트 금속층으로 완전히 채워지지 않거나, 상기 콘택홀 내부에 채워지는 코발트 금속층의 막 품질이 나빠질 수 있다.
그러나 예시적인 실시예들에 따르면, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)은 경사 측벽(140S)에 의해 상대적으로 넓은 상부 폭이 확보될 수 있다. 예를 들어, 도 18에 도시된 바와 같이, 제1 콘택홀(CA1H)의 상부 폭(W22)은 하부 폭(W21)보다 더 클 수 있다. 또한 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)은 킹크 등의 구조가 형성되지 않고, 완만하게 연결되는 측벽 프로파일을 가질 수 있다. 따라서, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H) 내부에 코발트를 포함하는 금속층이 완전히 채워지거나, 우수한 막 품질을 갖는 금속층이 채워질 수 있다.
도 4를 다시 참조하면, 층간 절연막(138) 상에 마스크 패턴(도시 생략)을 형성하고 상기 마스크 패턴을 식각 마스크로 사용하여 가이드 패턴(140) 및 층간 절연막(138) 일부분을 제거하여 게이트 전극(122)의 상면을 노출하는 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)을 형성할 수 있다. 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)의 내벽에는 가이드 패턴(140)이 노출될 수 있다.
이후, 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)의 내벽 상에 Ti, Ta, TiN, TaN, 또는 이들의 조합을 사용하여 도전 배리어층(158)을 각각 형성할 수 있다. 도전 배리어층(158) 상에 게이트 콘택 플러그(156)를 형성함에 의해 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)을 채우는 제3 콘택(CB1) 및 제4 콘택(CB2)을 각각 형성할 수 있다. 게이트 콘택 플러그(156)는 코발트(Co), 텅스텐(W), 니켈(Ni), 루테늄(Ru), 구리(Cu), 알루미늄(Al), 이들의 실리사이드, 또는 이들의 합금을 사용하여 형성될 수 있다.
다른 실시예들에 있어서, 제1 콘택홀(CA1H) 및 제2 콘택홀(CA2H)을 형성한 후에 제1 콘택(CA1) 및 제2 콘택(CA2)을 형성하기 전에, 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)을 형성하고, 이후 제1 콘택홀(CA1H), 제2 콘택홀(CA2H), 제3 콘택홀(CB1H) 및 제4 콘택홀(CB2H)를 각각 채우는 제1 콘택(CA1), 제2 콘택(CA2), 제3 콘택(CB1) 및 제4 콘택(CB2)을 형성할 수 있다.
전술한 공정들을 완성하여 집적회로 장치(100)가 형성될 수 있다.
집적회로 장치(100)의 제조 방법에 따르면, 경사 측벽(140S)을 갖는 가이드 패턴(140)을 형성한 후, 콘택홀(CA1H, CA2H)을 형성하고, 가이드 패턴(140)의 경사 측벽(140S)이 노출될 때까지 콘택홀(CA1H, CA2H)을 확장할 수 있다. 이에 따라, 상부 폭이 확장되는 한편 완만한 측벽 프로파일을 갖는 콘택홀(CA1H, CA2H)이 얻어질 수 있고, 콘택홀(CA1H, CA2H) 내부에 코발트 등의 금속 물질을 채우는 공정에서 우수한 막 품질을 갖는 콘택(CA1, CA2)이 형성될 수 있다.
추가적으로, 콘택홀(CA1H, CA2H)의 확장 공정에서 가이드 패턴(140A)의 상부가 국부적으로 에천트에 더 많이 노출되고 제거되는 경우, 가이드 패턴(140A)의 상부 에지가 라운드질 수 있다. 이러한 경우에, 도 7을 참조로 설명한 곡면의 경사 측벽(140SA)을 구비하는 가이드 패턴(140A)을 포함하는 집적회로 장치(100B)가 얻어질 수 있다.
또한, 도 14를 참조로 설명한 것과는 달리, 게이트 구조물(120) 및 게이트간 절연층(132) 상에 식각 정지막(136)을 형성하지 않고, 가이드 패턴층(140L)을 게이트 구조물(120) 및 게이트간 절연층(132)의 직접 상부에 형성할 수도 있다. 이러한 경우에, 도 5 및 도 6을 참조로 설명한 집적회로 장치(100A)가 얻어질 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
100: 집적회로 장치 120: 게이트 구조물
134: 게이트 분리 절연층 136: 식각 정지막
140: 가이드 패턴 140S: 경사 측벽
CA: 액티브 콘택 CB: 게이트 콘택

Claims (20)

  1. 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판;
    상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되는 게이트 구조물;
    상기 기판 상에서 상기 제1 방향으로 연장되고, 상기 게이트 구조물의 상기 제1 방향으로 배치되는 제1 측면과 접촉하는 게이트 분리 절연층(gate separation insulation layer);
    상기 게이트 구조물 및 상기 게이트 분리 절연층 상에서 상기 제2 방향으로 연장되며, 경사 측면을 갖는 가이드 패턴으로서, 상기 가이드 패턴의 상기 제1 방향을 따른 상부 폭이 상기 가이드 패턴의 상기 제1 방향을 따른 하부 폭보다 작은, 가이드 패턴;
    상기 게이트 구조물 양 측에 배치되는 소스/드레인 영역; 및
    상기 소스/드레인 영역 중 하나와 전기적으로 연결되는 제1 콘택으로서, 상기 제1 콘택의 상측(upper portion)은 상기 가이드 패턴의 상기 경사 측면과 접촉하는, 제1 콘택;을 포함하는 집적회로 장치.
  2. 제1항에 있어서,
    상기 경사 측면은 상기 기판의 상기 상면에 대하여 80도보다 낮은 경사각으로 기울어진 것을 특징으로 하는 집적회로 장치.
  3. 제1항에 있어서,
    상기 제1 콘택은,
    상기 게이트 구조물의 상면보다 낮은 레벨 상에 배치되는 제1 부분과,
    상기 제1 부분 상에 배치되는 제2 부분을 포함하고,
    상기 제1 콘택의 상기 제1 부분의 측면은 상기 기판의 상기 상면에 수직하고,
    상기 제1 콘택의 상기 제2 부분의 측면은 상기 가이드 패턴의 상기 경사 측면의 프로파일을 따르는(conforming to) 프로파일을 갖도록 상기 기판의 상기 상면에 대하여 기울어진 것을 특징으로 하는 집적회로 장치.
  4. 제1항에 있어서,
    상기 가이드 패턴을 관통하여 상기 게이트 구조물 상에 배치되는 제3 콘택; 및
    상기 게이트 구조물과, 상기 게이트 구조물에 인접한 이웃 게이트 구조물 상에 배치되는 제4 콘택으로서, 상기 제4 콘택의 측면 일부분이 상기 가이드 패턴에 의해 커버되는, 제4 콘택; 중 적어도 하나를 더 포함하는 집적회로 장치.
  5. 제1항에 있어서,
    상기 경사 측면의 적어도 일부분은 곡면을 이루는 것을 특징으로 하는 집적회로 장치.
  6. 제1항에 있어서,
    상기 게이트 구조물의 일 측 상에서 상기 핀형 활성 영역에 형성되는 트렌치;
    상기 트렌치를 채우며 상기 게이트 구조물로부터 상기 제1 방향으로 이격되고 상기 제2 방향으로 연장되는 고립 구조물(isolation structure); 및
    상기 고립 구조물 상에서 상기 제2 방향으로 연장되며, 경사 측면을 갖는 제2 가이드 패턴으로서, 상기 제2 가이드 패턴의 상기 제1 방향을 따른 상부 폭이 상기 제2 가이드 패턴의 상기 제1 방향을 따른 하부 폭보다 작은, 제2 가이드 패턴;을 더 포함하는 집적회로 장치.
  7. 제1 방향으로 연장되는 핀형 활성 영역을 갖는 기판;
    상기 기판 상에서 상기 핀형 활성 영역과 교차하며, 상기 제1 방향과 수직하고 상기 기판의 상면에 평행한 제2 방향으로 연장되는 복수의 게이트 구조물들;
    상기 복수의 게이트 구조물 각각 상에서 상기 제2 방향으로 연장되며, 경사 측면을 갖는 가이드 패턴들로서, 상기 가이드 패턴들 각각의 상기 제1 방향을 따른 상부 폭이 상기 가이드 패턴들 각각의 상기 제1 방향을 따른 하부 폭보다 작은, 가이드 패턴들;
    소스/드레인 영역으로서, 상기 복수의 게이트 구조물들 중 상기 제1 방향으로 서로 인접한 2개의 게이트 구조물들이 상기 소스/드레인 영역의 반대되는 측들 상에 배치되는, 소스/드레인 영역;
    상기 소스/드레인 영역에 전기적으로 연결되는 액티브 콘택으로서, 상기 액티브 콘택의 상측(upper portion)은 상기 제1 방향으로 서로 인접한 상기 2개의 게이트 구조물들 상에 각각 배치되는 인접한 2개의 가이드 패턴들의 상기 경사 측면들 중 마주보는 경사 측면들 사이에 개재되는, 액티브 콘택; 및
    상기 기판 상에서 상기 제1 방향으로 연장되고, 상기 복수의 게이트 구조물들의 일단부와 접촉하는 게이트 분리 절연층;을 포함하고,
    상기 가이드 패턴들 중 적어도 하나는 상기 게이트 분리 절연층 상에서 상기 제2 방향으로 연장되는 것을 특징으로 하는 집적회로 장치.
  8. 제7항에 있어서,
    상기 액티브 콘택은,
    상기 제1 방향으로 서로 인접한 상기 2개의 게이트 구조물들 사이에 개재되는 제1 부분과,
    상기 제1 방향으로 서로 인접한 상기 2개의 게이트 구조물들 상에 배치되는 상기 2개의 가이드 패턴들 사이에 개재되는 제2 부분을 포함하고,
    상기 액티브 콘택의 상기 제1 부분의 측면들은 상기 기판의 상기 상면에 수직하고,
    상기 액티브 콘택의 상기 제2 부분의 측면들은 상기 2개의 가이드 패턴들의 상기 경사 측면의 프로파일들을 따르는(conforming to) 프로파일들을 갖도록 상기 기판의 상기 상면에 대하여 기울어진 것을 특징으로 하는 집적회로 장치.
  9. 제7항에 있어서,
    상기 액티브 콘택은 상기 제1 방향으로 인접한 상기 2개의 게이트 구조물들 사이의 위치로부터 상기 2개의 게이트 구조물들의 단부들을 지난 위치까지 상기 제2 방향을 따라 연장되고,
    상기 액티브 콘택의 양 측 상에 상기 게이트 분리 절연층이 배치되며,
    상기 액티브 콘택은,
    상기 제1 방향으로 인접한 상기 2개의 게이트 구조물들 사이에 개재되는 일부분과,
    상기 게이트 분리 절연층 옆에 배치되는 다른 부분을 포함하고,
    상기 액티브 콘택의 상기 일부분의 폭이, 상기 액티브 콘택의 상기 다른 부분의 폭보다 더 작은 것을 특징으로 하는 집적회로 장치.
  10. 제7항에 있어서,
    상기 게이트 구조물들 중 하나 상에 배치되는 게이트 콘택을 더 포함하며,
    상기 게이트 콘택의 측면의 적어도 일부분이 상기 게이트 구조물들 중 상기 하나 상에 배치되는 상기 가이드 패턴에 의해 커버되는 것을 특징으로 하는 집적회로 장치.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020170151723A 2017-11-14 2017-11-14 집적회로 장치 및 그 제조방법 KR102403734B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170151723A KR102403734B1 (ko) 2017-11-14 2017-11-14 집적회로 장치 및 그 제조방법
US16/013,734 US10593671B2 (en) 2017-11-14 2018-06-20 Integrated circuit device and method of manufacturing same
CN201811337662.2A CN109786378B (zh) 2017-11-14 2018-11-12 集成电路器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170151723A KR102403734B1 (ko) 2017-11-14 2017-11-14 집적회로 장치 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20190054771A KR20190054771A (ko) 2019-05-22
KR102403734B1 true KR102403734B1 (ko) 2022-05-30

Family

ID=66432828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170151723A KR102403734B1 (ko) 2017-11-14 2017-11-14 집적회로 장치 및 그 제조방법

Country Status (3)

Country Link
US (1) US10593671B2 (ko)
KR (1) KR102403734B1 (ko)
CN (1) CN109786378B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110875237B (zh) 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11929283B2 (en) 2018-08-31 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor device
KR102595606B1 (ko) * 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
KR102663811B1 (ko) * 2019-11-06 2024-05-07 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
KR20220067590A (ko) 2020-11-16 2022-05-25 삼성전자주식회사 반도체 소자
US11784218B2 (en) * 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11437477B1 (en) * 2021-04-08 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorine-free interface for semiconductor device performance gain
CN114725218B (zh) * 2022-06-07 2022-09-02 广州粤芯半导体技术有限公司 半导体器件单元及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170170322A1 (en) * 2015-12-15 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and manufacturing method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100350767B1 (ko) 1999-12-30 2002-08-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2002353195A (ja) 2001-05-23 2002-12-06 Sony Corp 半導体装置の製造方法
KR20090007860A (ko) 2007-07-16 2009-01-21 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
KR101615654B1 (ko) 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법
US9299608B2 (en) 2014-05-19 2016-03-29 Globalfoundries Inc. T-shaped contacts for semiconductor device
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
CN105762106B (zh) * 2014-12-18 2021-02-19 联华电子股份有限公司 半导体装置及其制作工艺
US9530887B1 (en) * 2016-02-25 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and manufacturing method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170170322A1 (en) * 2015-12-15 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN109786378A (zh) 2019-05-21
KR20190054771A (ko) 2019-05-22
US10593671B2 (en) 2020-03-17
CN109786378B (zh) 2023-12-05
US20190148374A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
US10283600B2 (en) Integrated circuit device
KR102403734B1 (ko) 집적회로 장치 및 그 제조방법
US11769769B2 (en) Integrated circuit device and method of fabricating the same
KR102376508B1 (ko) 집적회로 장치 및 그 제조 방법
KR102254863B1 (ko) 반도체 디바이스 구조체 및 이를 형성하기 위한 방법
CN108122981B (zh) 半导体装置的制造方法
US10868138B2 (en) Metal gate formation through etch back process
US11469332B2 (en) Semiconductor device and manufacturing method thereof
KR102387465B1 (ko) 반도체 장치 및 그 제조 방법
KR20190024538A (ko) 매립된 금속 트랙 및 그 형성 방법들
US11551972B2 (en) Integrated circuit devices and method of manufacturing the same
US11114535B2 (en) Integrated circuit devices and methods of manufacturing the same
US11935932B2 (en) Semiconductor device and method
KR20200047292A (ko) 슬롯 콘택 및 이를 형성하는 방법
KR20220127119A (ko) 핀 전계 효과 트랜지스터 소자 및 방법
KR20190024530A (ko) 핀 전계 효과 트랜지스터 디바이스 및 방법
US10276391B1 (en) Self-aligned gate caps with an inverted profile
US11189531B2 (en) Fin field-effect transistor device and method
KR20230127180A (ko) 핀펫 디바이스 및 이의 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant