KR101950330B1 - 대칭적 피드 구조를 갖는 기판 지지체 - Google Patents

대칭적 피드 구조를 갖는 기판 지지체 Download PDF

Info

Publication number
KR101950330B1
KR101950330B1 KR1020137002166A KR20137002166A KR101950330B1 KR 101950330 B1 KR101950330 B1 KR 101950330B1 KR 1020137002166 A KR1020137002166 A KR 1020137002166A KR 20137002166 A KR20137002166 A KR 20137002166A KR 101950330 B1 KR101950330 B1 KR 101950330B1
Authority
KR
South Korea
Prior art keywords
conductor
disposed
electrode
conductors
dielectric layer
Prior art date
Application number
KR1020137002166A
Other languages
English (en)
Other versions
KR20130122720A (ko
Inventor
싱 린
더글라스 에이. 주니어. 버크버거
샤오핑 저우
앤드류 뉴옌
안첼 쉐이너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130122720A publication Critical patent/KR20130122720A/ko
Application granted granted Critical
Publication of KR101950330B1 publication Critical patent/KR101950330B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

기판을 프로세싱하기 위한 장치가 본 명세서에서 개시된다. 일부 실시예들에서, 기판 지지체는, 기판을 지지하기 위한 지지체 표면을 가지고, 중심 축을 갖는 기판 지지체; 상기 지지체 표면 상에 배치될 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극; 상기 지지체 표면과 대향하는 상기 제 1 전극의 표면의 중심 둘레에서 상기 제 1 전극에 결합되는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 기판 지지체의 상기 지지체 표면으로부터 멀어지는 방향으로 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 연장되는, 상기 내부 전도체; 상기 내부 전도체 둘레에 배치되는 외부 전도체; 및 상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층을 포함할 수 있다. 상기 외부 전도체는 전기적 접지에 결합될 수 있다.

Description

대칭적 피드 구조를 갖는 기판 지지체{SUBSTRATE SUPPORT WITH SYMMETRICAL FEED STRUCTURE}
본 발명의 실시예들은 일반적으로 기판 프로세싱 장비(substrate processing equipment)에 관한 것이다.
디바이스들의 임계 치수(critical dimension)들이 계속 축소될수록, 큰 치수들에서는 관계가 없었거나 덜 중요하였을 수 있는 인자들이 더 작은 치수들에서는 결정적일 수 있다.
발명자들은 기판들을 프로세싱할 때, 개선된 프로세싱 결과들을 가능하게 할 수 있는 개선된 장치를 제공하였다.
기판을 프로세싱하기 위한 장치가 본 명세서에서 개시된다. 일부 실시예들에서, 기판 지지체는, 기판을 지지하기 위한 지지체 표면을 가지고, 중심 축을 갖는 기판 지지체; 상기 지지체 표면 상에 배치될 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극; 상기 지지체 표면과 대향하는 상기 제 1 전극의 표면의 중심 둘레에서 상기 제 1 전극에 결합되는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 기판 지지체의 상기 지지체 표면으로부터 멀어지는 방향으로 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 연장되는, 상기 내부 전도체; 상기 내부 전도체 둘레에 배치되는 외부 전도체; 및 상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층을 포함할 수 있다. 일부 실시예들에서, 상기 외부 전도체는 전기적 접지에 접속될 수 있다. 일부 실시예들에서, DC 에너지는 상기 중심 축을 따라 연장되는 제 2 전도체를 거쳐 제 2 전극에 제공될 수 있다. 일부 실시예들에서, AC 에너지는 상기 중심 축 둘레에 대칭적으로 배치되는 복수의 제 3 전도체들을 거쳐 하나 이상의 히터 전극들에 제공될 수 있다. 일부 실시예들에서, 제 2 및 제 3 전도체들은 상기 내부 전도체의 축 개구부 내에 배치될 수 있다.
일부 실시예들에서, 플라즈마 프로세싱 장치는, 내부 용적(inner volume)을 갖는 프로세스 챔버(process chamber)로서, 기판 지지체는 상기 내부 용적 내에 배치되고, 상기 기판 지지체는 지지체 표면 및 중심 축을 가지는, 상기 프로세스 챔버; 상기 기판 지지체 상에 존재할 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극; 상기 지지체 표면으로부터 멀어지도록 대면하는 상기 제 1 전극의 표면의 중심 둘레에 상기 제 1 전극에 결합되는 제 1 단부를 갖는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 멀어지도록 연장되는, 상기 내부 전도체; 상기 제 1 단부와 대향하는 상기 내부 전도체의 제 2 단부에 인접한 상기 내부 전도체에 결합되는 제 1 전도체로서, 상기 제 1 전도체는 상기 중심 축으로부터 축에서 벗어나 배치되는 RF 전원을 향해 상기 중심 축으로부터 가로 방향으로 연장되고, 상기 RF 전원은 RF 전력을 상기 제 1 전극에 제공하는, 상기 제 1 전도체; 상기 내부 전도체 둘레에 배치되는 외부 전도체; 및, 상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층을 포함할 수 있다.
일부 실시예들에서, 기판 지지체는, 기판을 지지하기 위한 지지체 표면을 가지고, 중심 축을 갖는 기판 지지체; 상기 지지체 표면 상에 배치될 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극; DC 에너지가 제 2 전극에 공급될 때, 상기 기판 지지체 상에 배치되는 기판을 정전기 방식으로 유지하기 위하여 상기 제 1 전극 상부의 유전체층 내에 배치되는 상기 제 2 전극; 복수의 히터 전극들로의 AC 에너지의 인가에 응답하여, 상기 기판 지지체 상에 존재할 때, 기판에 열을 제공하기 위하여 상기 제 1 전극 및 상기 지지체 표면 사이에 배치되는 복수의 히터 전극들; 및 대칭적인 전기적 피드 구조체를 포함하며, 상기 대칭적인 전기적 피드 구조체는, 상기 지지체 표면과 대향하는 상기 제 1 전극의 표면의 중심 둘레에서 상기 제 1 전극에 결합되는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 기판 지지체의 상기 지지체 표면으로부터 멀어지는 방향으로 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 연장되는, 상기 내부 전도체; 상기 내부 전도체의 축 개구부 내의 내부 유전체층 내에 배치되는 복수의 전도체들로서, 상기 복수의 전도체들은, 상기 중심 축을 따라 상기 내부 유전체층에서 중심에 배치되고, 상기 제 2 전극을 DC 전원에 결합하는 제 2 전도체; 및 상기 중심 축 둘레에 대칭적으로 배치되는 복수의 제 3 전도체들로서, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들은 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합되는, 상기 복수의 제 3 전도체들을 포함하는, 상기 복수의 전도체들; 상기 내부 전도체 둘레에 배치되는 외부 전도체; 및 상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층을 포함할 수 있다.
일부 실시예들에서, 상기 외부 전도체는 전기적 접지에 결합될 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 외부 전도체에 결합되는 전도성 판을 더 포함할 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 제 1 전극 및 상기 전도성 판 사이에 배치되는 유전체층을 더 포함할 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 제 1 전극 하부의 상기 내부 전도체에 결합되는 제 1 전도체를 더 포함하고, 상기 제 1 전도체는 상기 내부 전도체로부터, 상기 중심 축으로부터 축에서 벗어나 배치되는 RF 전원으로 가로 방향으로 연장되고, 상기 RF 전원은 RF 전력을 상기 제 1 전극에 제공하고, 상기 전도성 판은 상기 제 1 전극 및 상기 제 1 전도체 사이에 배치될 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 제 1 전도체 주위에 배치되는 접지 케이스; 및 상기 제 1 전도체로부터 상기 접지 케이스를 전기적으로 격리시키기 위하여 상기 제 1 전도체 및 상기 접지 케이스 사이에 배치되는 제 2 유전체층을 더 포함할 수 있다.
일부 실시예들에서, 상기 히터 전극들은 복수의 구역(zone)들에서 배치될 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들을 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합하기 위하여, 상기 제 1 전극의 상부에 배치되는 전기적 분배 판을 더 포함할 수 있다.
일부 실시예들에서, 기판 지지체는, 상기 제 1 전극과 대향하는 상기 유전체층의 일 측 상에 배치되는 전도성 판으로서, 상기 제 1 전극은 상기 유전체층과 상기 지지체 표면 사이에 배치되는, 상기 전도성 판을 더 포함할 수 있다.
일부 실시예들에서, 플라즈마 프로세싱 장치는, 내부 용적을 갖는 프로세스 챔버(process chamber)로서, 기판 지지체는 상기 내부 용적 내에 배치되고, 상기 기판 지지체는 지지체 표면 및 중심 축을 가지는, 상기 프로세스 챔버; 상기 기판 지지체 상에 존재할 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극; 제 2 전극에 DC 에너지가 공급될 때, 상기 기판 지지체 상에 배치되는 기판을 정전기 방식으로 유지하기 위하여, 상기 제 1 전극 상부의 유전체층에서 배치되는 상기 제 2 전극; 복수의 히터 전극들로의 AC 에너지의 인가에 응답하여, 상기 기판 지지체 상에 존재할 때, 기판에 열을 제공하기 위하여, 상기 제 1 전극 및 상기 지지체 표면 사이에 배치되는 복수의 히터 전극; 및 대칭적인 전기적 피드 구조체를 포함하며, 상기 대칭적인 전기적 피드 구조체는, 상기 지지체 표면으로부터 멀어지도록 대면하는 상기 제 1 전극의 표면의 중심 둘레에 상기 제 1 전극에 결합되는 제 1 단부를 갖는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 멀어지도록 연장되는, 상기 내부 전도체; 상기 내부 전도체의 축 개구부 내의 내부 유전체층 내에 상기 중심 축에 대하여 대칭적으로 배치되는 복수의 전도체들로서, 상기 복수의 전도체들은, 상기 중심 축을 따라 상기 내부 유전체층에서 중심에 배치되고, 상기 제 2 전극을 DC 전원에 결합하는 제 2 전도체; 및 상기 중심 축 둘레에 대칭적으로 배치되는 복수의 제 3 전도체들로서, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들은 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합되는, 상기 복수의 제 3 전도체들을 포함하는, 상기 복수의 전도체들; 상기 내부 전도체 둘레에 배치되는 외부 전도체; 상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층; 상기 제 1 단부와 대향하는 상기 내부 전도체의 제 2 단부에 인접한 상기 내부 전도체에 결합되는 제 1 전도체로서, 상기 제 1 전도체는 상기 중심 축으로부터 축에서 벗어나 배치되는 RF 전원을 향해 상기 중심 축으로부터 가로 방향으로 연장되고, 상기 RF 전원은 RF 전력을 상기 제 1 전극에 제공하는, 상기 제 1 전도체; 상기 제 1 전극 및 상기 제 1 전도체 사이에 배치되는 전도성 판으로서, 상기 전도성 판은 상기 외부 전도체에 결합되고, 상기 전도성 판 및 외부 전도체는 전기적 접지에 결합되는, 상기 전도성 판; 및 상기 전도성 판 및 상기 제 1 전극 사이에 배치되는 유전체층을 포함할 수 있다.
일부 실시예들에서, 플라즈마 프로세싱 장치는, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들을 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합하기 위하여, 상기 제 1 전극의 상부에 배치되는 전기적 분배 판을 더 포함할 수 있다.
본 발명의 다른 실시예 및 추가적인 실시예는 이하에서 설명된다.
위에서 간단하게 요약되고 이하에서 더욱 상세하게 논의된 본 발명의 실시예들은 첨부된 도면들에서 도시된 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 이 발명의 전형적인 실시예들만을 예시하고 있고, 그러므로, 발명이 다른 동등하게 효과적인 실시예들을 인정할 수 있으므로, 그 범위를 제한하는 것으로 간주되지 않아야 하는 것에 주목해야 한다.
도 1은 본 발명의 일부 실시예들에 따라 프로세스 챔버의 개략적인 측면도를 도시한다.
도 2는 본 발명의 일부 실시예들에 따라 기판 지지체의 개략적인 측면도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따라 중심 축 둘레에 배열된 복수의 전도체들의 상단 단면도를 도시한다.
도 4는 본 발명의 일부 실시예들에 따라 기판 지지체에 결합되는 메커니즘들의 개략적인 측면도를 도시한다.
이해를 용이하게 하기 위하여, 동일한 참조 번호들은, 가능하다면, 도면들에 공통적인 동일한 구성요소들을 지정하도록 이용되었다. 도면들은 축척을 조정하도록 그려진 것이 아니고, 명료함을 위하여 단순화될 수 있다. 하나의 실시예의 구성요소들 및 특징들은 더 이상의 인용 없이 다른 실시예들 내에 유익하게 통합될 수 있다고 예상된다.
기판을 프로세싱하기 위한 장치가 본 명세서에서 개시된다. 발명자들은 기판 지지체에서 배치된 전극에 전력을 제공하기 위한 비대칭적인 전기적 피드 구조(electrical feed structure)를 갖는 기판 지지체가 예를 들어, 기판 지지체의 상부에 배치된 기판 상에서의 식각 레이트(etch rate) 및 식각 치수 불균일성(non-uniformity)들과 같은 프로세스 불균일성들을 야기시킬 수 있다는 것을 발견하였다. 따라서, 발명자들은 식각 레이트 및/또는 식각 치수 불균일성들을 유익하게 개선시키기 위하여 기판 지지체 내에 통합될 수 있는 대칭적인 전기적 피드 구조를 제공하였다. 일부 실시예들에서, 발명의 장치는 기판 지지체의 중심 축에 대해 대칭적으로 배열되어 있는 하나 이상의 전도체들을 통해 기판 지지체의 다양한 부품들에 전력을 전도시킴으로써, 및/또는 전기장 및/또는 자기장을 구속하거나 균일하게 분포시키기 위한 하나 이상의 소자들을 제공함으로써, 기판의 표면을 따라 전자기 스큐(electromagnetic skew)를 유익하게 감소시킬 수 있다.
도 1은 본 명세서에서 논의된 바와 같이 발명의 실시예들을 실시하기 위해 이용될 수 있는 종류의 예시적인 식각 반응기(etch reactor)(100)의 개략적인 도면을 도시한다. 반응기(100)는 단독으로 사용될 수 있거나, 더욱 전형적으로, California, Santa Clara의 Applied Materials, Inc.로부터 입수가능한 CENTURA® 통합형 반도체 기판 프로세싱 시스템과 같은 통합형 반도체 기판 프로세싱 시스템 또는 클러스터 도구의 프로세싱 모듈로서 사용될 수 있다. 적당한 식각 반응기들(100)의 예들은 Applied Materials, Inc.로부터 입수가능한, (AdvantEdge S 또는 AdvantEdge HT와 같은) 식각 반응기들의 ADVANTEDGE™ 라인, (DPS®, DPS® II, DPS® AE, DPS® G3 폴리 식각기(poly etcher)와 같은) 식각 반응기들의 DPS® 라인, 또는 다른 식각 반응기들을 포함한다. 다른 제조업체들의 것들을 포함하는 다른 식각 반응기들 및/또는 클러스터 도구들이 마찬가지로 이용될 수 있다.
반응기(100)는 전도성 본체(벽)(130) 내에 형성된 프로세싱 용적(processing volume)(117) 내에 배치된 기판 지지체(116)를 갖는 프로세스 챔버(110)와, 제어기(140)를 포함한다. 대칭적인 전기적 피드 스루(electrical feed through)(150)는 이하에서 논의되는 바와 같이, 기판 지지체(116) 내에 배치된 하나 이상의 전극들에 전기 에너지(electrical energy)를 결합하도록 제공될 수 있다. 챔버(110)에는 대체로 평평한 유전체 천장부(120)가 구비될 수 있다. 대안적으로, 챔버(110)는 다른 유형들의 천장부들, 예를 들어, 돔-형상의 천장부(dome-shaped ceiling)를 가질 수 있다. 적어도 하나의 유도성 코일 소자(112)를 포함하는 안테나는 천장부(120) 위에 배치된다(2개의 동축(co-axial) 소자들(112)이 도시되어 있음). 유도성 코일 소자(112)는 제 1 정합 네트워크(matching network)(119)를 통해 플라즈마 전원(plasma power source)(118)에 결합된다. 플라즈마 전원(118)은 전형적으로 50 kHz로부터 13.56 MHz까지의 범위의 조정가능한 주파수에서 3000 W까지 생성할 수 있다.
도 1에 예시된 바와 같이, 기판 지지체(116)는 기판 지지체(116) 하부에 배치된 하나 이상의 메커니즘들(148)에 의해 동작될 수 있는, 전극들, 히터들, 등과 같은 복수의 부품들을 포함할 수 있다. 예를 들어, 그리고 도 1에 도시된 바와 같이, 하나 이상의 메커니즘들은 전도성 본체(130)를 통해 배치된 개구부(115)를 통해 기판 지지체(116)에 결합될 수 있다. 기판 지지체가 프로세스 챔버에 대해 이동하는 것을 허용하면서, 프로세스 챔버의 내부 및 프로세스 챔버의 외부 사이에 밀봉을 유지하는 것을 용이하게 하기 위하여, 벨로우즈(bellows)(152)가 제공될 수 있다. 예를 들어, 기판 지지체(116)가 프로세싱 용적(117) 내에서 승강 또는 하강될 때, 벨로우즈(152)는 압축 또는 팽창될 수 있다. 하나 이상의 메커니즘들(148)은 기판 지지체(116)의 상부에 배치된, 유도성 코일 소자들(112)과 같은 하나 이상의 플라즈마 발생 소자들에 대해 기판 지지체(116)를 승강 및 하강하기 위해 사용될 수 있는 리프트 메커니즘(lift mechanism)(154)을 포함할 수 있다. 하나 이상의 메커니즘들(148)은 이하에서 도 4에 대하여 더욱 상세하게 설명된다.
도 2는 본 발명의 실시예에 따라 기판 지지체(116) 및 대칭적인 전기적 피드 구조(150)의 개략적인 측면도를 도시한다. 도 2에 예시된 바와 같이, 기판 지지체는 중심 개구부(central opening)(202)를 갖는 베이스(base)(200)를 포함할 수 있다. 중심 개구부(202)는 예를 들어, 기판 지지체(116) 하부에 배치된 하나 이상의 메커니즘들(148)로부터의 라디오 주파수(RF : radio frequency), 교류(AC : alternating current), 또는 직류(DC : direct current) 전력 중의 하나 이상을 결합하기 위하여 중심 개구부(202)를 관통하여 하나 이상의 전도체(conductor)들을 제공하도록 사용될 수 있다. 베이스(200)는 프로세스 챔버의 다른 부품들로의 베이스(200)의 결합을 용이하게 하기 위하여 돌출 부분(204)을 가질 수 있다.
기판 지지체(116) 상에 배치될 때, 기판(114)(도 1에 도시됨)과 같은 기판에 RF 전력을 제공하기 위하여, 기판 지지체(116)는 기판 지지체(116) 내에 배치된 제 1 전극(206)을 포함할 수 있다. 제 1 전극(206)은 중심 축(208)을 포함할 수 있다. 내부 전도체(210)는 제 1 전극(206)에 결합될 수 있다. 내부 전도체(210)는 중심 축(208)과 정렬된 중심 축을 갖는 원통형 튜브(cylindrical tube)일 수 있어서, 내부 전도체(210)는 RF 에너지를 제 1 전극(206)에 대칭적인 방식으로 제공할 수 있다. 내부 전도체(210)는 일반적으로 중심 축(208)과 평행하게 그리고 중심 축(208) 둘레에 제 1 전극(206)으로부터 멀어지도록 연장된다. 내부 전도체(210)는 (도시된 바와 같이) 베이스(200) 내의 중심 개구부(202)를 통해, (도 1에 도시된) 벨로우즈(152)를 통해, 그리고 (이하에서 설명된 도 4에서 예시된 바와 같이) 하나 이상의 메커니즘들(148) 내부로 연장될 수 있다. 내부 전도체(210)는 구리(Cu), 알루미늄(Al), 도금된 구리(gold-plated copper), 등과 같은 임의의 적당한 전도 재료(conducting material)를 포함할 수 있다. 일부 실시예들에서, 내부 전도체는 구리를 포함할 수 있다.
기판 지지체(116)는 내부 전도체(210)의 적어도 일부분들 둘레에 배치된 외부 전도체(212)를 더 포함한다. 내부 전도체(210)와 유사한 외부 전도체(212)는 형상이 튜브형일 수 있고, 일반적으로 중심 축(208)에 평행하게 그리고 중심 축(208) 둘레에 연장될 수 있다. 외부 전도체(212)는 알루미늄(Al), 구리(Cu), 등과 같은 임의의 적당한 전도 재료를 포함할 수 있다. 일부 실시예들에서, 외부 전도체(212)는 Al을 포함할 수 있다. 외부 전도체(212)는 베이스(200) 상부에 배치된 전도성 판(conductive plate)(214)으로부터 멀어지도록 연장될 수 있다. 예를 들어, 외부 전도체(212)의 대향 단부(opposing end)가 도 4에서 도시되고 이하에서 설명되는 바와 같이 하나 이상의 메커니즘들(148)을 포함하는 케이스(400)에 결합되도록 함으로써, 외부 전도체(212)는 전기적 접지(electrical ground)에 결합될 수 있다. 대안적으로, 외부 전도체(212)는 별도로 접지될 수 있다(도시되지 않음).
내부 전도체(210)로부터 외부 전도체(212)를 전기적으로 격리시키기 위하여, 외부 유전체층(216)이 내부 및 외부 전도체들(210, 212) 사이에서 배치될 수 있다. 외부 유전체층(216)은 TEFLON®(Delaware, Wilmington의 Dupont으로부터 입수가능함) 등과 같은 폴리테트라플루오로에틸렌(PTFE : polytetrafluoroethylene)-함유 재료와 같은 임의의 적당한 유전체 재료를 포함할 수 있다. 일부 실시예들에서, 외부 유전체층(216)은 PTFE를 포함할 수 있다. 동작 시에, RF 에너지와 같은 전기 에너지는 내부 전도체(210)를 통해 제 1 전극(206)으로 흐를 수 있다. 전기장(electric field)은 전형적으로 내부 전도체(210)와, 내부 전도체(210)에 인접한 임의의 다른 전도성 소자 사이에 존재할 수 있다. 또한, 내부 전도체(210)를 통해 흐르는 전류에 의해 자기장(magnetic field)이 유도될 수 있다. 외부 전도체(212)는 내부 및 외부 전도체(210, 212) 사이의 영역, 예를 들어, 외부 유전체층(216)을 포함하는 영역으로 전기장 및 자기장을 구속하도록 작용할 수 있다. 이 영역으로의 전기장 및 자기장의 구속은 전기장 및 자기장의 분포에 있어서의 개선된 균일성으로 귀착될 수 있고, 이것은 기판 지지체(116)의 상단에 배치된 기판(114) 상에서의 개선된 식각 레이트 및 식각 치수 균일성으로 귀착될 수 있다. 또한, 전도성 판(214)은 전기장 및 자기장들을 구속시키고, 및/또는 전도성 판(214) 둘레에 전기장 및 자기장들을 대칭적으로 분포시키도록 유사하게 작용할 수 있다. 부가적으로, 전도성 판(214)은 이하에서 설명되는 도 4에서 예시된 제 1 전도체(408)와 같은 다른 부품들에 의해 야기되는 비대칭적인 전기장 및 자기장으로부터 기판(114)을 격리시키기 위하여 차폐물(shield)로서 작용할 수 있다.
기판 지지체(116)는 제 1 전극(206) 및 전도성 판(214) 사이에 배치된 유전체층(218)을 더 포함할 수 있다. 유전체층(218)은 Pennsylvania, Philadelphia의 C-Lec Plastics, Inc.로부터 입수가능한, 가교 폴리스티렌(cross-linked polystyrene)인 Rexolite® 등과 같은, 프로세스 양립가능한 유전체 재료를 포함할 수 있다. 유전체층(218)은 예를 들어, 제 1 전극(206) 및 전도성 판(214) 사이의 전력 손실들을 제한하기 위해 사용될 수 있다.
일부 실시예들에서, 기판 지지체(116)는 제 1 전극(206) 상부에 배치된 정전 척(ESC : electrostatic chuck)(220)을 포함할 수 있다. ESC는 베이스층(226) 상부에 배치된 유전체층(248)을 갖는 베이스층(226)을 일반적으로 포함할 수 있다. 베이스층(226)은 동작 동안에 정전 척(220)을 희망하는 온도에서 유지하는 것을 용이하게 하기 위한 냉각 판(cooling plate)일 수 있다. 예를 들어, 베이스층(226)은 알루미늄 또는 구리와 같은 고열전도성 재료(highly heat conductive material)를 포함할 수 있고, 열 전달 유체(heat transfer fluid)를 채널들을 통해 흘리기 위한 하나 이상의 채널들을 가질 수 있다.
ESC(220)는 제 2 전극(222)을 포함할 수 있다. 일부 실시예들에서, 제 2 전극(222)은 유전체층(248) 내에 배치될 수 있다. 제 2 전도체(236)를 통해 기판(114)을 기판 지지체(116)에 정전기 방식으로 고정하기 위하여, 제 2 전극(222)은 DC 에너지의 소스(source)에 결합될 수 있다. 일부 실시예들에서, 제공되고 있는 DC 에너지로부터의 임의의 RF 간섭을 최소화하고 임의의 이러한 RF 간섭을 대칭적으로 만들기 위하여, 제 2 전도체(236)는 축(208)을 따라 그리고 내부 전도체(210)의 축 개구부 내에 배치될 수 있다. 일부 실시예들에서, 제 2 전도체(236)는 전도성 로드(conductive rod)일 수 있다. 제 2 전도체(236)는 임의의 적당한 프로세스-양립가능한 전도성 재료로부터 제조될 수 있다. 일부 실시예들에서, 제 2 전도체(236)는 구리를 포함한다.
일부 실시예들에서, ESC(220)는 하나 이상의 히터 전극들(238)을 더 포함할 수 있다. 일부 실시예들에서, 하나 이상의 히터 전극들(238)은 유전체층(248) 내에 배치될 수 있다. 하나 이상의 히터 전극들(238)은 임의의 적당한 패턴으로 제공될 수 있고, 기판을 가열하기 위한 희망하는 가열 패턴을 제공하기 위하여 하나 이상의 히터 구역(heater zone)들에서 배열될 수 있다. 하나 이상의 히터 전극들(238)은 복수의 제 3 전도체들(234)을 통해 AC 에너지의 소스에 결합될 수 있다. 하나 이상의 히터 전극들(238)로의 AC 에너지의 인가는 전극들이 저항성 가열(즉, 주울 가열(Joule heating))에 의해 가열되도록 한다. 일부 실시예들에서, 제 3 전도체들(234)은 전도성 로드들일 수 있다. 제 3 전도체들(234)은 임의의 적당한 프로세스-양립가능한 전도성 재료로부터 제조될 수 있다. 일부 실시예들에서, 제 3 전도체들(234)은 구리를 포함한다.
일부 실시예들에서, 전기적 분배 판(240)은 복수의 제 3 전도체들(234)로부터 하나 이상의 히터 전극들(238)로의 접속들을 경로설정(route)하기 위해 제공될 수 있다. 예를 들어, 일부 실시예들에서, 전기적 분배 판(240)은 복수의 제 3 전도체들(234)에 접속하고 전도성 경로들(예를 들어, 전기적 트레이스(electrical trace)들)을 복수의 AC 단자들(224)에 제공하기 위한 인쇄 회로 기판(PCB : printed circuit board)(242) 등을 포함할 수 있다. AC 단자 절연체 판(244)은 ESC(220)의 베이스층(226)과 같은 인접한 전도성 소자들로부터 전도성 경로들 및 AC 단자들(224)을 절연하기 위하여 PCB(242)의 상부에 배치될 수 있다. 전도체들(246)은 AC 단자들(224)을 복수의 제 3 전도체들(234) 중의 각각의 전도체들에 결합하도록 제공될 수 있다. 일부 실시예들에서, 전도체들(246)은 전도성 로드들일 수 있다. 일부 실시예들에서, 전도체들(246)은 구리를 포함할 수 있다.
일부 실시예들에서, 제 3 전도체들(234)은 중심 축(208) 둘레에 대칭적으로 배치될 수 있다. 일부 실시예들에서, 제 3 전도체들(234)은 중심 축(208) 둘레에 대칭적으로 배치될 수 있고, (도시된 바와 같이) 내부 전도체(210)의 축 개구부 내에 배치될 수 있다. 일부 실시예들에서, AC 단자들(224)은 예를 들어, 복수의 제 3 전도체들(234) 중의 각각의 하나와 정렬하여, 각각의 AC 단자(224)를 갖는 중심 축(208) 둘레에 대칭적으로 배치될 수 있다. 발명자들은 중심 축(208) 둘레의 제 3 전도체들(234)의 대칭적인 배열은 또한, RF 간섭을 최소화할 수 있고, 기판 상에서의 식각 레이트 균일성 및/또는 식각 치수 균일성을 개선시키는 것과 같이, 프로세스 성능을 개선시킬 수 있다는 것을 발견하였다.
일부 실시예들에서, 제 2 전도체(236) 및 복수의 제 3 전도체들(234)은 내부 전도체(210)의 개방된 중심 부분을 통해 경로설정될 수 있다. 내부 유전체층(228)은 내부 전도체(210) 내에 배치될 수 있고, 제 2 전도체(236) 및 복수의 제 3 전도체들(234)이 내부 유전체층(228)을 통해 배치된 통로(passage)들을 통해 경로설정되도록 할 수 있다. 내부 유전체층(228)의 통로들은 제 2 전도체(236) 및 복수의 제 3 전도체들(234)을 서로로부터, 내부 전도체(210)로부터, 그리고 다른 인접한 전기적 전도성 부품들 또는 층들로부터 절연시킬 수 있다. 내부 유전체층(228)의 통로들은 또한, 제 2 전도체(236) 및 복수의 제 3 전도체들(234)을 희망하는 장소에, 또는 대칭적 패턴과 같은 패턴으로 위치시킬 수 있다. 내부 유전체층(228)은 외부 유전체층(216)에 대해 위에서 논의된 바와 같은 유사한 유전체 재료들을 포함할 수 있다.
도 2에서 그리고 도 3의 상단 단면도에서 도시된 바와 같이, 내부 유전체층(228)은 일반적으로 내부 도전체(210) 내에 배치되지만, 내부 전도체(210)의 단부를 넘어서 연장되는 제 2 전도체(236) 및 복수의 제 3 전도체들(234)의 길이들의 적어도 일부분을 둘러싸기 위하여, 내부 전도체(210)의 단부를 넘어서 연장될 수 있다. 예를 들어, 내부 유전체층(228)은 전기적 분배 판(240)을 향해 내부 전도체(210)의 단부를 지나서 연장되는 복수의 제 3 전도체들(234)의 일부분을 둘러싸는 제 1 부분(230)을 포함할 수 있다. 제 2 부분(232)은 제 2 전극(222)을 향해 내부 전도체(210)의 단부를 지나서 연장되는 제 2 전도체(236)의 일부분을 둘러쌀 수 있다.
도 3은 본 발명의 적어도 일부 실시예들에 따라 대칭적인 전기적 피드 구조(150)의 개략적인 부분 평면도를 예시한다. 도 3에 도시된 바와 같이, 대칭적인 전기적 피드 구조(150)는 외부 유전체층(216)에 의해 분리되는 내부 전도체(210) 및 외부 전도체(212)를 포함한다. 내부 유전체층(228)은 제 2 전도체(236) 및 복수의 제 3 전도체들(234)을 절연시키고 이들을 희망하는 패턴으로(예를 들어, 대칭적으로) 위치시킨다. 예를 들어, 제 2 전도체(236)는 중심 축(208)을 따라 내부 유전체층(228)에서 중심에 배치될 수 있고, 복수의 제 3 전도체들(234)은 중심 축(208) 둘레에 대칭적으로 배치될 수 있다.
도 4는 본 발명의 적어도 일부 실시예들에 따라 기판 지지체(116)에 결합되는 하나 이상의 메커니즘들(148)을 도시하는 대칭적인 전기적 피드 구조(150)의 하부 부분의 개략적인 측면도를 도시한다. 도 4에 도시된 바와 같이, 대칭적인 전기적 피드 구조(150)의 하부 부분은 RF 에너지 및, 선택적으로, AC 또는 DC 에너지 중의 하나 이상의 소스로의 접속을 제공할 수 있다. 예를 들어, 내부 전도체(210)는 RF 에너지를 제 1 전도체(408)를 거쳐 제 1 전극(206)에 제공하기 위하여, 예를 들어, 제 1 전도체(408)를 거쳐 RF 전원(406)에 결합될 수 있다. 일부 실시예들에서, 제 2 전도체(236)는 DC 에너지를 제 2 전극(222)에 제공하여 기판을 기판 지지체(116) 상에서 정전기 방식으로 유지하기 위하여, DC 전원(402)에 결합될 수 있다. 일부 실시예들에서, 복수의 제 3 전도체들(234)은 AC 에너지를 전극들(238)에 제공하여 기판에 열을 제공하기 위하여, AC 전력 공급 장치(404)에 결합될 수 있다.
제 1 전도체(408)는 내부 전도체(210)에 대칭적으로 RF 에너지를 제공하기 위하여, 내부 전도체(210)의 외부 표면 둘레에서 내부 전도체(210)에 결합될 수 있다. 제 1 전도체(408)는 중심 축(208)의 측면에 배치될 수 있는 RF 전원(406)을 향해 중심 축(208)으로부터 가로 방향으로 연장될 수 있다. RF 전원(406)은 정합 네트워크(410)를 거쳐 제 1 전도체(408)에 결합될 수 있다. RF 전원(406)은 임의의 적당한 주파수의 RF 에너지 및 특정한 응용을 위한 전력을 제공할 수 있다. 일부 실시예들에서, RF 전원(406)은 약 13.56 MHz의 주파수에서 RF 에너지의 약 1500 W까지를 제공할 수 있다. RF 전력은 연속파 또는 펄스화 모드(pulsed mode)의 어느 하나에서 제공될 수 있다.
일부 실시예들에서, 제 2 유전체층(414)은 (전기적 피드 구조(150)의 하부 부분을 둘러싸는, 이하에서 논의되는 접지 케이스(400)와 같은) 인접한 전기적 전도성 부품들로부터 제 1 전도체(408)를 전기적으로 절연시키기 위해 제공될 수 있다. 일부 실시예들에서, 그리고 도 4에 도시된 바와 같이, 제 1 전도체(408)는 제 2 유전체층(414) 내에 내장될 수 있다.
제 1 전도체(408)는 내부 전도체(210)에 대해 어떤 각도로 배치되지만, 이것은 RF 전류에 의해 생성되는 전자기장에서의 교란(disturbance)으로 귀착될 수 있고, 전도성 판(214)은 제 1 전도체(408)의 방위(orientation)에 의해 야기되는 전자기 효과를 제한하도록 기능할 수 있다. 이와 같이, 제 1 전도체의 방위로 인해 발생될 수 있는 전기장에 있어서의 임의의 비대칭성들은 기판 지지체(116) 상에 배치된 기판 상에서 수행되는 프로세스들에 대해 제한된 영향을 가지거나 전혀 영향을 가지지 않아야 한다.
일부 실시예들에서, 유전체 단부 캡(dielectric end cap)(416)은 RF 피드 구조(150)의 단부 둘레에 제공될 수 있다. 예를 들어, 유전체 단부 캡(416)은 내부 전도체(210) 넘어서 연장되는 내부 유전체층(228)의 일부분 둘레에 위치될 수 있다. 일부 실시예들에서, 유전체 단부 캡(416)은 제 2 유전체층(414) 넘어서 연장되는 내부 유전체층(228)의 일부분을 덮을 수 있다. 유전체 단부 캡(416)은 전기적 피드 구조(150)의 전도체들이 그것을 통해 연장되도록 하기 위하여 복수의 개구부들을 가질 수 있다. 전도체들은 복수의 전도체들(234) 및 전도체(236)에 결합된 각각의 전도성 경로들에 의해 DC 전력 공급 장치(402) 및/또는 AC 전력 공급 장치(404)에 각각 결합될 수 있다. 예를 들어, 복수의 전도체들(234)을 AC 전력 공급 장치(404)에 경로설정하기 위하여 그 내부 또는 그 상부에 형성된 전기적 트레이스들을 갖는 인쇄 회로 기판(PCB)(418)이 제공될 수 있다. 전도체(236)를 DC 전력 공급 장치(402)에 결합하기 위하여 별개의 전도성 경로가 제공될 수 있다. 일부 실시예들에서는, DC 전력 공급 장치(402)로의 전도체(236)의 결합을 용이하게 하기 위하여 단자(420)(점선들로 도시됨)가 제공될 수 있다. 단자(420)는 전체 PCB(418) 또는 PCB(418)의 일부분만을 통해 연장될 수 있다. 일부 실시예들에서, PCB(418)는 베이스(422), 베이스(422)에 의해 지지되는 기판(424), 및 커버(cover)(426)를 포함할 수 있다. 커버(426)는 기판(424)을 덮을 수 있고, 베이스(422) 및 커버(426) 사이에 기판(424)을 유지할 수 있다. 전도체들(234, 236), 단자(420), 및/또는 기판(424) 내의 또는 그 상부의 또는 기판(424)을 통과하는 임의의 전기적 트레이스(electrical trace)들에 대한 전기적 접속들을 행하는 것을 용이하게 하기 위하여, 개구부들이 커버(426) 내에 제공될 수 있다.
일부 실시예들에서는, 예를 들어, RF 에너지가 내부 전도체(210)에 결합되는 영역에서, 대칭적인 전기적 피드 구조(150)의 하부 부분을 대체로 둘러싸기 위하여 접지 케이스(400)가 제공될 수 있다. 접지 케이스(400)는 개구부(401)를 포함할 수 있고, 이것을 통해, 외부 유전체층(216), 내부 전도체(210), 내부 유전체층(228), 제 2 전도체(236), 및 복수의 제 3 전도체들(234)과 같은 대칭적인 전기적 피드 구조(150)의 하나 이상의 부품들이 배치될 수 있다. 일부 실시예들에서, 그리고 도 4에 도시된 바와 같이, 벨로우즈(152)의 단부 및 외부 전도체(212)의 단부는 개구부(401)에 인접한 접지 케이스(400)에 결합될 수 있다. 일부 실시예들에서, 접지 케이스(400)는 외부 전도체(212)를 위한 전기적 접지를 제공할 수 있다.
또한, 접지 케이스(400)는 제 2 전도체(236) 및 복수의 제 3 전도체들(234)의 각각의 DC 및 AC 전원들로의 경로설정을 용이하게 하기 위하여 개구부(403)를 가질 수 있다. 내부 유전체층(228) 및/또는 유전체 단부 캡(416)은 도시된 바와 같이, 접지 케이스(400)로부터 제 2 및 제 3 전도체들(234, 236)을 전기적으로 격리시킬 수 있다. 일부 실시예들에서는, 제 2 전도체(236) 및 복수의 제 3 전도체들(234)을 DC 전원(402) 및 AC 전원(404)에 각각 결합하기 위하여 추가적인 전도체들이 제공될 수 있다.
도 1로 돌아가면, 제어기(140)는 중앙 프로세싱 유닛(CPU : central processing unit)(144), 메모리(142), 및 CPU(144)를 위한 지원 회로들(146)을 포함하고, 챔버(110)의 부품들의 제어를 용이하게 한다. 위에서 설명된 바와 같이 프로세스 챔버(110)의 제어를 용이하게 하기 위하여, 제어기(140)는 다양한 챔버들 및 서브-프로세서(sub-processor)들을 제어하기 위한 산업적 세팅(industrial setting)에서 이용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중의 하나일 수 있다. CPU(144)의 메모리(142) 또는 컴퓨터-판독가능 매체는 랜덤 액세스 메모리(RAM : random access memory), 판독전용 메모리(ROM : read only memory), 플로피 디스크(flopply disk), 하드 디스크(hard disk), 또는 로컬(local) 또는 원격(remote)의 임의의 다른 형태의 디지털 저장장치와 같은 용이하게 이용가능한 메모리 중의 하나 이상일 수 있다. 지원 회로들(146)은 기존의 방식으로 프로세서를 지원하기 위하여 CPU(144)에 결합된다. 이 회로들은 캐시(cache), 전력 공급 장치들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 기판(114)을 프로세싱하기 위해 이용되는 식각 프로세스 레시피들 등과 같은 방법들은 소프트웨어 루틴(software routine)으로서 메모리(142) 내에 일반적으로 저장될 수 있다. 또한, 소프트웨어 루틴은 CPU(144)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제 2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
동작 시에, 기판(114)은 기판 지지체(116) 상에 위치되고, 프로세스 가스들은 진입 포트들(126)을 통해 가스 패널(138)로부터 공급되고 가스 혼합물(gaseous mixture)을 형성한다. 플라즈마 소스(118) 및 RF 전원(406)으로부터 유도성 코일 소자(112) 및 제 1 전극(206)으로 전력을 각각 인가함으로써, 가스 혼합물은 챔버(110) 내에서 플라즈마(155)로 점화(ignite)된다. 챔버(110)의 내부의 압력은 쓰로틀 밸브(throttle valve)(127) 및 진공 펌프(vacuum pump)(136)를 이용하여 제어된다. 전형적으로, 챔버 벽(130)은 전기적 접지(134)에 결합된다. 벽(130)의 온도는 벽(130)을 통해 뻗어 있는 액체-함유 도관(liquid-containing conduit)들(도시되지 않음)을 이용하여 제어된다.
기판(114)의 온도는 기판 지지체(116)의 온도를 안정화함으로써 제어될 수 있다. 하나의 실시예(도시되지 않음)에서, 가스 소스로부터의 헬륨 가스(helium gas)는 가스 도관을 거쳐, 기판(114)의 하부의 기판 지지체(116)의 표면에 형성된 채널들(도시되지 않음)에 제공될 수 있다. 헬륨 가스는 기판 지지체(116) 및 기판(114) 사이의 열 전달을 용이하게 하기 위하여 이용될 수 있다. 프로세싱 동안, 기판 지지체(116)는 위에서 논의된 복수의 AC 단자들(224)과 같은 저항성 히터(resistive heater)에 의해 정상 상태 온도(steady state temperature)로 가열될 수 있고, 그 다음으로, 헬륨 가스는 기판(114)의 균일한 가열을 용이하게 한다. 이러한 열 제어를 이용하면, 기판(114)은 섭씨 약 0도 내지 약 150도의 온도에서 유지될 수 있다.
유도성 결합된 플라즈마 식각 챔버에 대해 설명되었지만, 발명을 실시하기 위하여, 원격 플라즈마 소스들을 갖는 챔버들, 전자 사이클로트론 공명(ECR : electron cyclotron resonance) 플라즈마 챔버들 등을 포함하는 다른 식각 챔버들이 이용될 수 있다. 부가적으로, 기판 지지체 내에 배치된 전극에 RF 에너지를 제공하는 다른 비-식각(non-etch) 챔버들이 본 명세서에서 제공된 교시 내용들에 따라 변형될 수도 있다.
따라서, 기판을 프로세싱하기 위한 장치가 본 명세서에서 개시되었다. 발명의 장치의 적어도 일부 실시예들은 식각 레이트 및/또는 식각 치수 균일성들과 같은 기판 프로세싱을 유익하게 개선시킬 수 있는 대칭적인 전기적 피드 구조를 포함할 수 있다. 발명의 대칭적인 전기적 피드 구조 및 이를 포함하는 기판 지지체들은 기판 지지체의 중심 축에 대해 대칭적으로 배열되어 있는 하나 이상의 전도체들을 거쳐 기판 지지체의 다양한 부품들에 전력을 전도시킴으로써, 및/또는 전기장 및/또는 자기장을 구속하거나 균일하게 분포시키기 위한 하나 이상의 소자들을 제공함으로써, 기판의 표면을 따라 전자기 스큐를 유익하게 감소시킬 수 있다.
상기한 것은 본 발명의 실시예들에 관한 것이지만, 발명의 다른 실시예 및 추가적인 실시예는 그 기본 범위로부터 이탈하지 않으면서 도출될 수 있다.

Claims (15)

  1. 기판을 지지하기 위한 지지체 표면을 가지고, 중심 축을 갖는 기판 지지체;
    상기 지지체 표면 상에 배치될 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극;
    DC 에너지가 제 2 전극에 공급될 때, 상기 기판 지지체 상에 배치되는 기판을 정전기 방식으로 유지하기 위하여 상기 제 1 전극 상부의 유전체층 내에 배치되는 상기 제 2 전극;
    복수의 히터 전극들로의 AC 에너지의 인가에 응답하여, 상기 기판 지지체 상에 존재할 때, 기판에 열을 제공하기 위하여 상기 제 1 전극 및 상기 지지체 표면 사이에 배치되는 복수의 히터 전극들; 및
    대칭적인 전기적 피드 구조체를 포함하며,
    상기 대칭적인 전기적 피드 구조체는,
    상기 지지체 표면과 대향하는 상기 제 1 전극의 표면의 중심 둘레에서 상기 제 1 전극에 결합되는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 기판 지지체의 상기 지지체 표면으로부터 멀어지는 방향으로 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 연장되는, 상기 내부 전도체;
    상기 내부 전도체의 축 개구부 내의 내부 유전체층 내에 배치되는 복수의 전도체들로서, 상기 복수의 전도체들은,
    상기 중심 축을 따라 상기 내부 유전체층에서 중심에 배치되고, 상기 제 2 전극을 DC 전원에 결합하는 제 2 전도체; 및
    상기 중심 축 둘레에 대칭적으로 배치되는 복수의 제 3 전도체들로서, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들은 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합되는, 상기 복수의 제 3 전도체들을 포함하는, 상기 복수의 전도체들;
    상기 내부 전도체 둘레에 배치되는 외부 전도체; 및
    상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층을 포함하는, 기판 지지체.
  2. 청구항 1에 있어서,
    상기 외부 전도체는 전기적 접지에 결합되는, 기판 지지체.
  3. 청구항 2에 있어서,
    상기 외부 전도체에 결합되는 전도성 판을 더 포함하는, 기판 지지체.
  4. 청구항 3에 있어서,
    상기 제 1 전극 및 상기 전도성 판 사이에 배치되는 유전체층을 더 포함하는, 기판 지지체.
  5. 청구항 4에 있어서,
    상기 제 1 전극 하부의 상기 내부 전도체에 결합되는 제 1 전도체를 더 포함하고, 상기 제 1 전도체는 상기 내부 전도체로부터, 상기 중심 축으로부터 축에서 벗어나 배치되는 RF 전원으로 가로 방향으로 연장되고, 상기 RF 전원은 RF 전력을 상기 제 1 전극에 제공하고, 상기 전도성 판은 상기 제 1 전극 및 상기 제 1 전도체 사이에 배치되는, 기판 지지체.
  6. 청구항 5에 있어서,
    상기 제 1 전도체 주위에 배치되는 접지 케이스; 및
    상기 제 1 전도체로부터 상기 접지 케이스를 전기적으로 격리시키기 위하여 상기 제 1 전도체 및 상기 접지 케이스 사이에 배치되는 제 2 유전체층을 더 포함하는, 기판 지지체.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 청구항 1에 있어서,
    상기 히터 전극들은 복수의 구역(zone)들에서 배치되는, 기판 지지체.
  12. 청구항 1에 있어서,
    상기 복수의 제 3 전도체들의 각각의 제 3 전도체들을 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합하기 위하여, 상기 제 1 전극의 상부에 배치되는 전기적 분배 판을 더 포함하는, 기판 지지체.
  13. 청구항 1에 있어서,
    상기 제 1 전극과 대향하는 상기 유전체층의 일 측 상에 배치되는 전도성 판으로서, 상기 제 1 전극은 상기 유전체층과 상기 지지체 표면 사이에 배치되는, 상기 전도성 판을 더 포함하는, 기판 지지체.
  14. 내부 용적을 갖는 프로세스 챔버(process chamber)로서, 기판 지지체는 상기 내부 용적 내에 배치되고, 상기 기판 지지체는 지지체 표면 및 중심 축을 가지는, 상기 프로세스 챔버;
    상기 기판 지지체 상에 존재할 때, RF 전력을 기판에 제공하기 위하여 상기 기판 지지체에서 배치되는 제 1 전극;
    제 2 전극에 DC 에너지가 공급될 때, 상기 기판 지지체 상에 배치되는 기판을 정전기 방식으로 유지하기 위하여, 상기 제 1 전극 상부의 유전체층에서 배치되는 상기 제 2 전극;
    복수의 히터 전극들로의 AC 에너지의 인가에 응답하여, 상기 기판 지지체 상에 존재할 때, 기판에 열을 제공하기 위하여, 상기 제 1 전극 및 상기 지지체 표면 사이에 배치되는 복수의 히터 전극; 및
    대칭적인 전기적 피드 구조체를 포함하며,
    상기 대칭적인 전기적 피드 구조체는,
    상기 지지체 표면으로부터 멀어지도록 대면하는 상기 제 1 전극의 표면의 중심 둘레에 상기 제 1 전극에 결합되는 제 1 단부를 갖는 내부 전도체로서, 상기 내부 전도체는 튜브형이고, 상기 중심 축에 평행하게 그리고 상기 중심 축 둘레에 상기 제 1 전극으로부터 멀어지도록 연장되는, 상기 내부 전도체;
    상기 내부 전도체의 축 개구부 내의 내부 유전체층 내에 상기 중심 축에 대하여 대칭적으로 배치되는 복수의 전도체들로서, 상기 복수의 전도체들은,
    상기 중심 축을 따라 상기 내부 유전체층에서 중심에 배치되고, 상기 제 2 전극을 DC 전원에 결합하는 제 2 전도체; 및
    상기 중심 축 둘레에 대칭적으로 배치되는 복수의 제 3 전도체들로서, 상기 복수의 제 3 전도체들의 각각의 제 3 전도체들은 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합되는, 상기 복수의 제 3 전도체들을 포함하는, 상기 복수의 전도체들;
    상기 내부 전도체 둘레에 배치되는 외부 전도체;
    상기 내부 및 외부 전도체들 사이에 배치되는 외부 유전체층으로서, 상기 외부 유전체층은 상기 내부 전도체로부터 상기 외부 전도체를 전기적으로 격리시키는, 상기 외부 유전체층;
    상기 제 1 단부와 대향하는 상기 내부 전도체의 제 2 단부에 인접한 상기 내부 전도체에 결합되는 제 1 전도체로서, 상기 제 1 전도체는 상기 중심 축으로부터 축에서 벗어나 배치되는 RF 전원을 향해 상기 중심 축으로부터 가로 방향으로 연장되고, 상기 RF 전원은 RF 전력을 상기 제 1 전극에 제공하는, 상기 제 1 전도체;
    상기 제 1 전극 및 상기 제 1 전도체 사이에 배치되는 전도성 판으로서, 상기 전도성 판은 상기 외부 전도체에 결합되고, 상기 전도성 판 및 외부 전도체는 전기적 접지에 결합되는, 상기 전도성 판; 및
    상기 전도성 판 및 상기 제 1 전극 사이에 배치되는 유전체층을 포함하는, 플라즈마 프로세싱 장치.
  15. 청구항 14에 있어서,
    상기 복수의 제 3 전도체들의 각각의 제 3 전도체들을 상기 복수의 히터 전극들의 대응하는 히터 전극들에 결합하기 위하여, 상기 제 1 전극의 상부에 배치되는 전기적 분배 판을 더 포함하는, 플라즈마 프로세싱 장치.
KR1020137002166A 2010-10-22 2011-10-20 대칭적 피드 구조를 갖는 기판 지지체 KR101950330B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/910,547 US9123762B2 (en) 2010-10-22 2010-10-22 Substrate support with symmetrical feed structure
US12/910,547 2010-10-22
PCT/US2011/057024 WO2012054689A2 (en) 2010-10-22 2011-10-20 Substrate support with symmetrical feed structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197004123A Division KR102069550B1 (ko) 2010-10-22 2011-10-20 대칭적 피드 구조를 갖는 기판 지지체

Publications (2)

Publication Number Publication Date
KR20130122720A KR20130122720A (ko) 2013-11-08
KR101950330B1 true KR101950330B1 (ko) 2019-02-20

Family

ID=45971961

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197004123A KR102069550B1 (ko) 2010-10-22 2011-10-20 대칭적 피드 구조를 갖는 기판 지지체
KR1020137002166A KR101950330B1 (ko) 2010-10-22 2011-10-20 대칭적 피드 구조를 갖는 기판 지지체

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197004123A KR102069550B1 (ko) 2010-10-22 2011-10-20 대칭적 피드 구조를 갖는 기판 지지체

Country Status (6)

Country Link
US (3) US9123762B2 (ko)
JP (2) JP6226746B2 (ko)
KR (2) KR102069550B1 (ko)
CN (1) CN103081086B (ko)
TW (2) TWI590373B (ko)
WO (1) WO2012054689A2 (ko)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6013740B2 (ja) * 2012-02-03 2016-10-25 東京エレクトロン株式会社 離脱制御方法及びプラズマ処理装置の制御装置
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9401264B2 (en) 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US10153136B2 (en) * 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN109314039B (zh) * 2016-04-22 2023-10-24 应用材料公司 具有等离子体限制特征的基板支撑基座
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
JP6829087B2 (ja) * 2017-01-27 2021-02-10 京セラ株式会社 試料保持具
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
SG11202010340WA (en) * 2018-07-07 2021-01-28 Applied Materials Inc Semiconductor processing apparatus for high rf power process
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2022534804A (ja) * 2019-06-07 2022-08-03 アプライド マテリアルズ インコーポレイテッド シームレス電線用導管
WO2022015614A1 (en) * 2020-07-17 2022-01-20 Lam Research Corporation Method of mounting wires to substrate support ceramic
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230380016A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. High-temperature substrate support assembly with failure protection

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
JP2005347620A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
JP2009076598A (ja) 2007-09-19 2009-04-09 Tokyo Electron Ltd 載置台構造及び処理装置
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0478133A (ja) 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
JP3058530B2 (ja) * 1993-02-20 2000-07-04 東京エレクトロン株式会社 プラズマ処理装置
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
TW277139B (ko) 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6534751B2 (en) * 2000-02-28 2003-03-18 Kyocera Corporation Wafer heating apparatus and ceramic heater, and method for producing the same
EP1422754A1 (en) 2001-08-10 2004-05-26 Ibiden Co., Ltd. Ceramic joint body
JP3739310B2 (ja) * 2001-11-05 2006-01-25 コアックス株式会社 遮蔽型多心ケーブルの製法
ES2329446T3 (es) 2002-07-30 2009-11-26 Chevron Oronite S.A. Composicion aditiva para aceite de transmision que contienen un borato de metal alcalino hidratado y nitruro de boro hexagonal.
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
JP4381699B2 (ja) * 2003-03-12 2009-12-09 東京エレクトロン株式会社 プラズマ処理装置
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP2005166354A (ja) 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
US20050194374A1 (en) * 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
US20050274324A1 (en) * 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US7354288B2 (en) * 2005-06-03 2008-04-08 Applied Materials, Inc. Substrate support with clamping electrical connector
JP5069452B2 (ja) 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US7838800B2 (en) 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP4640842B2 (ja) 2006-10-11 2011-03-02 日本碍子株式会社 加熱装置
JP5063995B2 (ja) * 2006-11-22 2012-10-31 大日本スクリーン製造株式会社 熱処理装置
JP4944601B2 (ja) 2006-12-28 2012-06-06 新光電気工業株式会社 静電チャック及び基板温調固定装置
KR100931869B1 (ko) 2008-01-15 2009-12-15 (주)퓨전에이드 기판 가열장치
JP2009182139A (ja) 2008-01-30 2009-08-13 Tokyo Electron Ltd 載置台構造及び処理装置
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5222598B2 (ja) * 2008-03-25 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置及び給電棒
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
JP5476726B2 (ja) 2009-01-30 2014-04-23 住友電気工業株式会社 半導体製造装置用ウエハ保持体、及びそれを備えた半導体製造装置
JP2010159493A (ja) * 2010-01-27 2010-07-22 Tokyo Electron Ltd プラズマ処理装置、インピーダンス整合器、結合器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004342984A (ja) * 2003-05-19 2004-12-02 Tokyo Electron Ltd 基板保持機構およびプラズマ処理装置
JP2005347620A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
JP2009076598A (ja) 2007-09-19 2009-04-09 Tokyo Electron Ltd 載置台構造及び処理装置
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed

Also Published As

Publication number Publication date
JP6226746B2 (ja) 2017-11-08
US20150371877A1 (en) 2015-12-24
KR102069550B1 (ko) 2020-02-11
US10770328B2 (en) 2020-09-08
KR20190021472A (ko) 2019-03-05
TWI538093B (zh) 2016-06-11
US9123762B2 (en) 2015-09-01
TW201227870A (en) 2012-07-01
JP2017201705A (ja) 2017-11-09
JP2013543269A (ja) 2013-11-28
TWI590373B (zh) 2017-07-01
US10096494B2 (en) 2018-10-09
WO2012054689A3 (en) 2012-06-28
TW201628122A (zh) 2016-08-01
CN103081086B (zh) 2016-08-03
KR20130122720A (ko) 2013-11-08
CN103081086A (zh) 2013-05-01
US20120097332A1 (en) 2012-04-26
US20190051551A1 (en) 2019-02-14
WO2012054689A2 (en) 2012-04-26
JP6330087B2 (ja) 2018-05-23

Similar Documents

Publication Publication Date Title
US10770328B2 (en) Substrate support with symmetrical feed structure
US10332728B2 (en) Plasma processing apparatus
CN101008072B (zh) 限界等离子体和增强流动导通性的方法和装置
CN102106191B (zh) 具有可控制分配rf功率至制程套组环的等离子体反应器的工件支撑件
US8206552B2 (en) RF power delivery system in a semiconductor apparatus
JP5740304B2 (ja) フィールド強化型誘導結合プラズマ処理システムおよびプラズマ形成方法
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
CN104011838A (zh) 具有柔性对称的rf返回带的等离子体处理室
JP2018190978A (ja) 対称的な給電構造を有する補助電極を用いてプラズマ処理チャンバ内のウェハエッジシースを変調する方法および受動的な方法で動作するとき制御可能なインピーダンスを接地し、能動的に電力を供給するときプラズマへの対称rf電力入力を可能とするドライブ
US20120103524A1 (en) Plasma processing apparatus with reduced effects of process chamber asymmetry
KR20040015370A (ko) 균일한 공정 비율 생성을 위한 방법 및 장치
US6192829B1 (en) Antenna coil assemblies for substrate processing chambers
US20110094683A1 (en) Rf feed structure for plasma processing
TWI770144B (zh) 電漿處理裝置
CN104025279A (zh) 具有射频带输入的外围射频供给及对称的射频返回
CN115209603B (zh) 等离子体处理设备
JP4574174B2 (ja) プラズマ処理装置及び電極
KR200426498Y1 (ko) 플라즈마 공정 챔버에서 이용하기 위한 프로세스 키트
KR20220065683A (ko) 플라즈마 처리 장치와 그 제조 방법, 및 플라즈마 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant