KR101667898B1 - 유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법 - Google Patents

유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법 Download PDF

Info

Publication number
KR101667898B1
KR101667898B1 KR1020157027372A KR20157027372A KR101667898B1 KR 101667898 B1 KR101667898 B1 KR 101667898B1 KR 1020157027372 A KR1020157027372 A KR 1020157027372A KR 20157027372 A KR20157027372 A KR 20157027372A KR 101667898 B1 KR101667898 B1 KR 101667898B1
Authority
KR
South Korea
Prior art keywords
layered substrate
temperature
substrate
block copolymer
ppm
Prior art date
Application number
KR1020157027372A
Other languages
English (en)
Other versions
KR20150127645A (ko
Inventor
벤자민 엠 래쓰삭
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150127645A publication Critical patent/KR20150127645A/ko
Application granted granted Critical
Publication of KR101667898B1 publication Critical patent/KR101667898B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02269Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by thermal evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

패터닝된 기판을 형성하는 방법(100)은, 층상 기판(110)을 형성하기 위해, 기판 상에, 진성 유리 전이 온도(Tg)를 갖는 블록 공중합체의 층을 캐스팅하는 단계(110)를 포함한다. 방법은 또한, 제 1 분위기에서, 블록 공중합체의 진성 유리 전이 온도(Tg)보다 약 50 ℃ 이상 더 높은 어닐링 온도에서, 층상 기판을 가열하는 단계(120)를 포함한다. 방법은, 제 2 분위기에서, 약 50 ℃/분보다 더 높은 레이트로, 진성 유리 전이 온도(Tg)보다 더 낮은 ??칭 온도로, 층상 기판을 열적으로 ??칭하는 단계(130)를 더 포함한다. 방법은, 어닐링 및 ??칭 온도들을 블록 공중합체의 열적 열화 온도(Td) 아래로 유지하기 위해, 제 1 및 제 2 분위기에서의 산소 함유량을, 약 50 ppm 이하의 레벨로 제어하는 단계(140)를 더 포함한다.

Description

유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법{MULTI-STEP BAKE APPARATUS AND METHOD FOR DIRECTED SELF-ASSEMBLY LITHOGRAPHY CONTROL}
본 발명은 일반적으로, 반도체 디바이스들을 제조하는 방법들에 관한 것이고, 더 구체적으로, 유도 자기-조립(directed self-assembly) 프로세스들을 사용하여 반도체 디바이스들을 제조하는 방법들에 관한 것이다.
반도체 디바이스들의 생산에서 비용 및 성능을 경쟁적이게 유지하기 위한 필요는 집적 회로들의 디바이스 밀도가 계속 증가되게 하였다. 반도체 집적 회로에서 더 높은 집적(integration) 및 소형화를 달성하기 위해, 반도체 웨이퍼 상에 형성되는 회로 패턴의 소형화가 또한 달성되어야만 한다.
설계 규칙들은, 디바이스들 또는 상호연결(interconnect) 라인들 사이의 공간 허용범위(tolerance)를 규정하여, 디바이스들 또는 라인들이 임의의 원하지 않는 방식으로 서로 상호작용하지 않는 것을 보장한다. 반도체 디바이스의 전체 사이즈 및 밀도를 결정하는 경향이 있는 하나의 중요한 레이아웃 설계 규칙은 임계 치수(CD)이다. 회로의 임계 치수는, 예컨대, 2개의 라인들 사이의 최소 공간 또는 라인과 같은 피처(feature)의 최소 폭으로서 정의된다. 다른 중대한 설계 규칙은, (인접한 피처 에지까지의 거리) + (주어진 피처의 최소 폭)으로서 정의되는 최소 피치이다.
CD에서의 계속적인 감소는, 피처들을 형성하기 위해 사용되는 기법들에 점점 더 부담을 끼친다. 예컨대, 이러한 피처들을 패터닝하기 위해, 일반적으로, 포토리소그래피가 사용된다. 전형적으로, 포토리소그래피는, 레티클을 통해 방사선(radiation)(광)을 통과시키고, 방사선 민감성 포토레지스트 재료 상에 방사선을 포커싱하는 것을 수반한다. 레티클을 통해 방사선을 지향시킴으로써, 레티클에서의 패턴이 포토레지스트 상에 포커싱될 수 있다. 방사선은 포토레지스트의 조명된 부분들에서 화학적 변화를 야기하고, 이는, 조명되지 않았던 부분들에 관하여, 그 조명된 부분들이, 포지티브 포토레지스트가 사용되는지 또는 네거티브 포토레지스트가 사용되는지에 따라, 선택적으로 유지되거나 또는 제거되게 허용한다. 따라서, 노출된(조명된) 및 노출되지 않은(조명되지 않은) 부분들은 포토레지스트에서 패턴을 형성한다.
포토리소그래피가 통상, 표면 상에 방사선을 투사함으로써 달성되기 때문에, 특정한 리소그래피 기법의 궁극적인 분해능(resolution)은 사용되는 방사선의 파장 및 광학 근접 효과들과 같은 인자들에 의존한다. 광학 근접 효과들은 투사 시스템에서의 광학 회절로부터 기인하는 것으로 알려져 있다. 회절은 인접한 피처들이 패턴-종속적 변화들을 생성하는 방식으로 서로 상호작용하게 한다. 따라서, 피처들을 더 밀접하게 하면, 더 많은 근접 효과가 나타난다. 따라서, 라인 패턴들을 밀접하게 위치시키는 능력은 광학 파라미터 제한들을 침범한다.
포토리소그래피 기법들만으로 현재 달성가능한 것들 이상으로 임계 치수 및 피치를 달성하기 위한 하나의 제안되는 솔루션은, 유도 자기-조립(DSA) 리소그래피를 활용하는 것이고, 이는, 구형, 원통형, 층상(lamellar), 또는 바이컨티뉴어스 자이로이드 마이크로도메인(bicontinuous gyroid microdomain)들을 갖는 순서화된 모폴로지들로 자기-조립하기 위해 특정 블록 공중합체(block copolymer)들의 성향을 활용한다. 그러나, 대량 생산에 DSA 리소그래피를 포함시키는 것에 대한 하나의 난제는, 블록 공중합체가 적합한 CD 목표 및 균일성으로 자기-조립하기 위해 요구되는 긴 어닐링(annealing) 시간에 의해 야기되는 증가되는 프로세싱 시간이다.
따라서, DSA 리소그래피를 사용하여 반도체 디바이스들을 패터닝하는 새롭고 개선된 방법들이 요구된다.
본 발명의 실시예들은 패터닝된 기판을 형성하는 방법을 제공하며, 방법은, 층상 기판을 형성하기 위해, 기판 상에, 진성(intrinsic) 유리 전이 온도(Tg)를 갖는 블록 공중합체의 층을 캐스팅(casting)하는 단계를 포함한다. 방법은 또한, 제 1 시간 기간 동안, 제 1 분위기(atmosphere)에서, 블록 공중합체의 진성 유리 전이 온도(Tg)보다 약 50 ℃ 이상 더 높은 어닐링 온도에서, 층상 기판을 가열하는 단계를 포함한다. 방법은, 제 2 분위기에서, 약 50 ℃/분보다 더 높은 레이트로, 진성 유리 전이 온도(Tg)보다 더 낮은 ??칭(quenching) 온도로, 층상 기판을 열적으로 ??칭하는 단계를 더 포함한다. 방법은, 어닐링 온도 및 ??칭 온도를 블록 공중합체의 열적 열화(thermal degradation) 온도(Td) 미만으로 유지하기 위해, 제 1 및 제 2 분위기에서의 산소 함유량을 약 50 ppm 이하의 레벨로 제어하는 단계를 더 포함한다.
본 명세서의 부분을 구성하고 본 명세서에 포함되는 첨부 도면들은, 본 발명의 실시예들을 예시하고, 위에서 주어진 본 발명의 일반적인 설명, 및 아래에서 주어지는 상세한 설명과 함께, 본 발명을 설명하는 역할을 한다.
도 1a 및 도 1b는, 본 발명의 실시예들에 따른 방법들에 대해 적합한 프로세싱 시스템의 선도(diagrammatic view)들이다.
도 2는, 본 발명의 실시예에 따른, 패터닝된 기판을 형성하는 방법을 예시하는 흐름도이다.
도 3은, 본 발명의 실시예에 따른, 층상 기판을 열적으로 ??칭하는 방법들을 예시하는 흐름도이다.
도 4는, 본 발명의 실시예에 따른, 기판 상에 블록 공중합체의 층을 캐스팅하는 방법을 예시하는 흐름도이다.
도 5는, 블록 공중합체의 층을 어닐링하기 전에, 층상 기판에 저 산소 환경을 제공하는 방법을 예시하는 흐름도이다.
패터닝된 기판을 형성하기 위한 방법들이 다양한 실시예들에서 개시된다. 그러나, 다양한 실시예들이, 특정 세부사항들 중 한가지 이상 없이, 또는 다른 대체 및/또는 부가적인 방법들, 재료들, 또는 컴포넌트들로, 실시될 수 있다는 것을 당업자는 인지할 것이다. 다른 경우들에서, 본 발명의 다양한 실시예들의 양상들을 불명료하게 하는 것을 방지하기 위해, 잘 알려진 구조들, 재료들, 또는 동작들은 상세히 설명되지 않거나 또는 도시되지 않는다.
마찬가지로, 설명의 목적을 위해, 본 발명의 철저한 이해를 제공하도록, 특정 수들, 재료들, 및 구성들이 설명된다. 그럼에도 불구하고, 본 발명은 특정 세부사항들 없이 실시될 수 있다. 게다가, 도면들에서 도시된 다양한 실시예들은 예시적인 표현들이고, 반드시 실척대로 도시된 것은 아니라는 것이 이해된다. 도면들의 참조에서, 유사한 번호들은 전반에 걸쳐 유사한 부분들을 지칭한다.
본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예" 또는 이들의 변형에 대한 언급은, 실시예와 관련하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되지만 이들이 모든 실시예에 존재하는 것을 나타내지는 않는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 개소들에서의 "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들은, 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은, 한가지 이상의 실시예들에서, 임의의 적합한 방식으로 조합될 수 있다. 다양한 부가적인 층들 및/또는 구조들이 포함될 수도 있고/있거나, 설명된 피처들이 다른 실시예들에서는 생략될 수도 있다.
부가적으로, 부정관사("a" 또는 "an")는, 명시적으로 다르게 기재되지 않는 한, "하나 이상"을 의미할 수 있다는 것이 이해되어야 한다.
다양한 동작들이, 본 발명을 이해하는데 가장 유용한 방식으로, 다수의 별개의 동작들로서 차례로 설명될 것이다. 그러나, 설명의 순서는, 이러한 동작들이 반드시 순서 종속적이어야 하는 것을 암시하는 것으로 해석되지 않아야 한다. 특히, 이러한 동작들은 제시의 순서로 수행될 필요가 없다. 설명되는 동작들은 설명되는 실시예와 상이한 순서로 수행될 수 있다. 다양한 부가적인 동작들이 수행될 수 있고/있거나, 설명되는 동작들이 부가적인 실시예들에서 생략될 수 있다.
본 발명의 실시예들에 따르면, 패터닝된 기판을 형성하는 방법은, 어닐링 프로세스의 말미(end)에서 결함들을 감소시키고 개선된 임계 치수 제어를 제공하기 위해, 블록 공중합체 마이크로페이즈(microphase) 분리의 키네틱스(kinetics)를 급속하게 감소시키는, 열적 ??칭 및 급속한 어닐링 프로세스의 조합을 활용함으로써 제공된다. 방법은, 기판 상에 블록 공중합체의 층을 캐스팅하는 단계; 제 1 시간 기간 동안, 어닐링 온도로, 제 1 환경에서, 층상 기판을 가열하는 단계; 및 층상 기판을 열적으로 ??칭하는 단계를 포함한다. 다른 실시예에 따르면, 방법은, 어닐링 조건들 및/또는 ??칭 조건들 하에서 블록 공중합체의 열적 열화를 최소화하기 위해, 제 1 및 제 2 분위기에서의 산소 함유량을, 약 50 ppm 이하의 레벨로 제어하는 단계를 더 포함한다.
본원에서 사용되는 바와 같이, "중합체 블록"이라는 용어는, 페이즈 분리가 발생하기에 충분한, 다른(unlike) 모노머(monomer) 타입들의 다른 중합체 블록들과 함께, 한층 더 긴 길이의 더 큰 중합체의 부분을 형성하고 χΝ 값을 나타내는, 어떤(some) 길이의 연속적인 중합체 체인으로의, 구성 유닛들의 다수의 타입들(즉, 공중합체 블록) 또는 단일 타입(즉, 동종중합체(homopolymer) 블록)의 다수의 모노머 유닛들의 그룹핑을 의미하고 포함한다. χ는 플로리-허긴스(Flory-Huggins) 상호작용 파라미터이고, N은 블록 공중합체에 대한 총 중합도(degree of polymerization)이다. 본 발명의 실시예들에 따르면, 더 큰 중합체에서의 적어도 하나의 다른 중합체 블록과 하나의 중합체 블록의 χΝ 값은 약 10.5 이상일 수 있다.
본원에서 사용되는 바와 같이, "블록 공중합체"라는 용어는 체인들로 구성된 중합체를 의미하고 포함하며, 여기에서, 각각의 체인은 위에서 정의된 바와 같은 2개 이상의 중합체 블록들을 포함하고, 블록들 중 적어도 2개는, 이러한 블록들이 페이즈 분리하기에 충분한 세그리게이션(segregation) 강도(예컨대, χΝ > 10.5)로 이루어진다. 디블록(diblock) 공중합체들(즉, 2개의 중합체 블록들(AB)을 포함하는 중합체들), 트리블록 공중합체들(즉, 3개의 중합체 블록들(ABA 또는 ABC)을 포함하는 중합체들), 멀티블록 공중합체들(즉, 3개 초과의 중합체 블록들(ABCD 등)을 포함하는 중합체들), 및 이들의 조합들을 포함하는 매우 다양한 블록 중합체들이 본원에서 고려된다. 본원에서 사용되는 바와 같이, "기판"이라는 용어는 베이스 재료, 또는 재료들이 위에 형성되는 구조(construction)를 의미하고 포함한다. 기판이 단일 재료, 상이한 재료들의 복수의 층들, 상이한 구조들 또는 상이한 재료들을 갖는 구역들의 층 또는 층들 등을 포함할 수 있다는 것이 인식될 것이다. 이러한 재료들은 반도체들, 절연체들, 전도체들, 또는 이들의 조합들을 포함할 수 있다. 예컨대, 기판은, 반도체 기판, 지지 구조 상의 베이스 반도체 층, 금속 전극, 또는 하나 이상의 층들, 구조들, 또는 구역들이 위에 형성된 반도체 기판일 수 있다. 기판은 종래의 실리콘 기판, 또는 반전도성 재료의 층을 포함하는 다른 벌크(bulk) 기판일 수 있다. 본원에서 사용되는 바와 같이, "벌크 기판"이라는 용어는, 실리콘 웨이퍼들뿐만 아니라, 또한, 실리콘-온-절연체("SOI") 기판들, 예컨대 실리콘-온-사파이어("SOS") 기판들 및 실리콘-온-유리("SOG") 기판들, 베이스 반도체 기초(foundation) 상의 실리콘의 에피택셜 층들, 및 다른 반도체 또는 광전자(optoelectronic) 재료들, 예컨대 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물을 의미하고 포함한다. 기판은 도핑될 수 있거나 또는 도핑되지 않을 수 있다.
본원에서 사용되는 바와 같이, "마이크로페이즈 세그리게이션" 및 "마이크로페이즈 분리"라는 용어들은, 블록 공중합체의 동종 블록들이 상호 집합되고, 이종 블록들이 별개의 도메인들로 분리되는 특성들을 의미하고 포함한다. 벌크에서, 블록 공중합체들은, 구형, 원통형, 층상, 또는 바이컨티뉴어스 자이로이드 마이크로도메인들을 갖는 순서화된 모폴로지들로 자기 조립될 수 있고, 여기에서, 블록 공중합체의 분자량이, 형성되는 마이크로도메인들의 사이즈들을 좌우한다. 자기-조립된 블록 공중합체 모폴로지의 피치 주기(LO) 또는 도메인 사이즈는 패터닝된 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다. 마찬가지로, 블록 공중합체의 중합체 블록들 중 하나를 선택적으로 에칭한 후에 남은 피처의 치수인 구조 주기(LS)는 패터닝된 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다.
블록 공중합체를 형성하는 중합체 블록들 각각의 길이들은, 이러한 블록 공중합체들의 중합체 블록들에 의해 형성되는 도메인들의 사이즈들에 대한 본질적인 제한일 수 있다. 예컨대, 중합체 블록들 각각은 도메인들의 원하는 패턴으로의 자기-조립을 용이하게 하는 길이를 갖도록 선택될 수 있고, 더 짧은 및/또는 더 긴 공중합체들은 원하는 대로 자기-조립되지 않을 수 있다.
본원에서 사용되는 바와 같은 "어닐링" 또는 "어닐링한다"라는 용어는, 중합체 블록들로부터 형성되는 구조적인 유닛들을 반복시킴으로써 규정되는 순서화된 패턴을 형성하기 위해, 블록 공중합체의 2개 이상의 상이한 중합체 블록 컴포넌트들 사이의 충분한 마이크로페이즈 세그리게이션을 가능하게 하기 위한 블록 공중합체의 열적 처리를 의미하고 포함한다. 본 발명에서의 블록 공중합체의 어닐링은, 열적 어닐링(아르곤 또는 질소와 같은 비활성 분위기에서 또는 진공에서), 용매 증기-보조(solvent vapor-assisted) 어닐링(실온에서 또는 실온 이상에서), 초임계(supercritical) 유체-보조 어닐링, 또는 레이저-보조 어닐링을 포함하지만 이에 제한되지는 않는, 본 기술분야에 알려져 있는 다양한 방법들에 대한 한가지 이상의 처리들에 의해 달성될 수 있다. 특정 예로서, 하나의 처리는, 아래에서 더 상세히 설명되는 바와 같이, 진성 유리 전이 온도(Tg)보다 약 50 ℃ 이상 더 높지만, 블록 공중합체의 열적 열화 온도(Td) 미만인 높은 온도에, 블록 공중합체를 노출시킴으로써 실시될 수 있는, 블록 공중합체의 열적 어닐링을 포함할 수 있다. 본원에서 설명되지 않은 다른 종래의 어닐링 방법들이 또한 활용될 수 있다.
본원에서 사용되는 바와 같이, "진성 유리 전이 온도"는, 물 또는 다른 용매들의 영향이 없는 블록 공중합체의 유리 전이 온도를 의미한다. 본 기술분야에 알려져 있는 바와 같이, 용매들의 존재는 용매-함유 혼합물들의 유리 전이의 온도를 낮춘다.
본원에서 사용되는 바와 같이, "열적 열화 온도"는, 블록 공중합체가 주변 산소 레벨들 하에서 산화성 열화를 겪게 될 온도를 의미한다. 본 발명의 실시예들에 따르면, 열적 ??칭 및 어닐링 프로세스를 위한 주변 분위기에서의 산소 함유량은 약 50 ppm 이하의 레벨에 있다. 원하는 주변 산소 레벨에서의 주어진 블록 공중합체의 열적 열화 온도는, 열무게 분석(thermogravimetric analysis)(TGA)을 포함하지만 이에 제한되지는 않는 일반적인 방법들에 의해 확인될 수 있다.
이제 도면들, 특히 도 1a로 넘어가면, 본 발명의 실시예들과 함께 사용하기에 적합한 프로세싱 시스템(10)이 도시된다. 일반적으로, 시스템(10)은 가열 챔버(12) 및 냉각 챔버(14)를 포함하고, 이들 각각은 내부에 웨이퍼 지지부(16, 18)를 갖고, 그 웨이퍼 지지부는 그 위에 배치된 웨이퍼(30b)를 지지하고 가열 또는 냉각시키도록 구성된다. 웨이퍼 지지부(16)는 또한, 가열 플레이트(16)라고 지칭되고, 웨이퍼 지지부(18)는 또한, 칠링(chilling) 플레이트라고 지칭된다. 본원에서 사용되는 바와 같이, 웨이퍼(30b)는 하나 이상의 반도체 디바이스들의 제조에서 "기판"을 제공하는 임의의 구조를 지칭할 수 있다. 가열 및 냉각 챔버들(12, 14) 각각은 배기 포트(24, 26)를 통해 진공 펌프(미도시)에 유체적으로-커플링된 배기 챔버(20, 22)를 포함한다. 도시된 바와 같이, 가열 및 냉각 챔버는, 아래에서 논의되는 바와 같이, 이송 메커니즘(32)의 동작과 동작적으로 커플링된 이동가능한 도어(31)에 의해 서로로부터 물리적으로 격리될 수 있다.
도 1a 및 도 1b의 시스템(10)은, 도 1a에서 도시된 바와 같은, 냉각 챔버(14) 내의 홈(home) 위치와 도 1a에서 도시된 바와 같은, 가열 플레이트(16) 위의 이송 위치 사이에서 웨이퍼(30)를 이송하도록 구성된 이송 아암(34)을 갖고 냉각 챔버(14) 내에 배치된 전용 이송 메커니즘(32)을 포함한다. 이러한 방식으로, 웨이퍼(30)는, 필요에 따라, 그리고 특정한 프로세싱 방법에 따라, 칠링 플레이트(18)와 가열 플레이트(16) 사이에서 이송될 수 있다. 더 구체적으로, 가열 플레이트(16)에 의해 지지된 웨이퍼(30)는 가열될 수 있고, 그 후에, 가열 플레이트(16)로부터 리프트 핀들(28)을 통해 리프팅될 수 있다. 이동가능한 도어(31)는, 가열 챔버(12) 내로의 칠링 플레이트(18)의 진입을 허용하기 위해, 가열 및 냉각 챔버들(12, 14) 사이에 개구를 제공하도록 재배치될 수 있다. 이송 아암(34)은, 리프트 핀(28)이 웨이퍼(30)를 칠링 플레이트(18) 상으로 내릴 수 있도록, 칠링 플레이트(18)를 이송 위치로 이동시킨다. 그 후에, 이송 아암(34)은, 웨이퍼(30)가 냉각될 수 있도록, 웨이퍼(30)를 갖는 칠링 플레이트(18)를 홈 위치로 철수시킨다. 예시된 냉각 시스템(14)이 칠링 플레이트(14)와 함께 도시되어 있지만, 냉각 챔버(14)는, 칠러 유닛과 유체 소통하도록 구성된 웨이퍼 척, 열전기 디바이스, 또는 대류성(convective) 가스 공급부와 유체 소통하는 가스 유입구 중 적어도 하나를 포함할 수 있다.
시스템(10)은 또한, 가열 챔버, 냉각 챔버, 및/또는 이송 영역에서의 분위기를 모니터링 및 조정하는 하나 이상의 피드백 제어 메커니즘들(미도시), 예컨대 분석기들, 센서들, 및 제어기들을 포함할 수 있다. 예컨대, 피드백 제어 메커니즘들은, 온도, 산소 레벨들, 및/또는 압력에 대한 실시간 조정들을 행하는 것이 가능할 수 있다.
웨이퍼(30)는, 바닥 및 상단 하드 레지스트 층들이 위에 형성된 기판을 포함할 수 있다. "상단" 및 "바닥"이라는 용어들은 일반적으로 임의로 설정될 수 있지만, 본원에서 사용되는 바와 같이, 웨이퍼(30)의 상단은, 프로세싱되고 있거나 적층 장치를 향하는 측이다. 일 실시예의 예비 단계에서, 포토레지스트 층이 상단 하드 레지스트 층 상에 적층되고, 패터닝되고, 현상되어, 그래포-에피택시(grapho-epitaxy) 및/또는 케미-에피택시(chemi-epitaxy) DSA 프로세스에서 가이딩(guiding) 층들을 제공한다. 이와 관련하여, 종래의 프로시저들에 따라, 포토레지스트 층이 마스킹, 이미징, 현상, 및 프로세싱될 수 있다.
도 1a 및 도 1b가 통상적인(traditional) 단일 웨이퍼 프로세스 실시예를 도시하고 있지만, 본 발명은 이에 제한되지 않는다. 이와 관련하여, 적합한 이송 메커니즘과 함께, 다-챔버형 노(multi-chambered furnace)를 활용하는 배치(batch) 프로세싱이 추가로 고려된다.
이제 도 2를 참조하여, 본 발명의 일 실시예에 따른, 웨이퍼(30)를 프로세싱하는 방법을 예시하는 흐름도(100)를 설명한다. 단계(110)에서, 층상 기판을 형성하기 위해, 기판 상에 블록 공중합체(BCP)의 층이 캐스팅된다. BCP는 진성 유리 전이 온도(Tg)와, BCP의 환경 조건들에 의존하는 열적 열화 온도(Td)를 갖는다. BCP 층은, 열역학 마이크로페이즈 분리 하에서 제 1 및 제 2 도메인을 형성할 수 있는 제 1 및 제 2 중합체 블록들을 포함한다. 제 1 및 제 2 중합체 블록을 형성하는 블록들 각각의 길이들은 본질적으로, 제 1 및 제 2 도메인들의 사이즈들을 제한할 수 있다. 예컨대, 제 1 및 제 2 블록 공중합체들은, 도메인들의 원하는 패턴으로의 자기-조립을 용이하게 하기에 충분한 길이를 갖도록 선택될 수 있고, 이는, 더 짧은 공중합체들은 원하는 대로 자기-조립하지 않을 수 있기 때문이다. 예시적인 제 1 블록 공중합체들은, 폴리(9,9-비스(6'-N,N,N-트리메틸암모늄)-헥실)-플루오렌페닐렌)("PFP"), 폴리(4-비닐피리딘)("4PVP"), 하이드록시프로필 메틸셀룰로스("HPMC"), 폴리에틸렌 글리콜("PEG"), 폴리(에틸렌 산화물)-코-폴리(프로필렌 산화물) 디블록 또는 멀티블록 공중합체들, 폴리(비닐 알코올)("PVA"), 폴리(에틸렌-코-비닐 알코올)("PEVA"), 폴리(아크릴산)("PAA"), 폴리(에틸옥사졸린), 폴리(알킬아크릴레이트), 폴리아크릴아미드, 폴리(N-알킬아크릴아미드), 폴리(N,N-디알킬아크릴아미드), 폴리(프로필렌 글리콜)("PPG"), 폴리(프로필렌 산화물)("PPO"), 부분적으로 또는 완전히 가수분해된 폴리(비닐 알코올), 덱스트란, 폴리스티렌("PS"), 폴리에틸렌("PE"), 폴리프로필렌("PP"), 폴리클로로프렌("CR"), 폴리비닐 에테르("PVE"), 폴리(비닐 아세테이트)("PVAc"), 폴리(비닐 염화물)("PVC"), 폴리우레탄("PU"), 및 폴리아크릴레이트를 포함할 수 있지만 이에 제한되지는 않는다. 예시적인 제 2 블록 공중합체들은, 실리콘-함유 중합체들, 예컨대 폴리실록산(예컨대, 폴리디메틸실록산("PDMS")) 또는 실리콘 및 철-함유 중합체들(예컨대, 폴리(페로세닐디메틸실란)("PFS"))을 포함할 수 있지만 이에 제한되지는 않는다. 따라서, 예시적인 블록 공중합체들은, 폴리스티렌-b-폴리디메틸실록산("PS-PDMS"), 폴리(2-비닐피리딘-b-디메틸실록산("P2VP-PDMS"), 또는 폴리스티렌-b-폴리(페로세닐디메틸실란)("PS-PFS")을 포함할 수 있다. 명칭이 "블록 공중합체들을 형성하는 방법들, 자기-조립되는 블록 공중합체 구조를 형성하는 방법들, 및 관련된 조성들(METHODS OF FORMING BLOCK COPOLYMERS, METHODS OF FORMING A SELF-ASSEMBLED BLOCK COPOLYMER STRUCTURE AND RELATED COMPOSITIONS)"인 미국 특허 출원 일련 번호 제 2012/0046415 호에서 개시된 것과 같은 블록 공중합체들의 변형들이 또한 예상되고, 상기 미국 특허 출원의 개시는 그 전체가 인용에 의해 본원에 포함된다.
여전히 도 2를 참조하면, 단계(120)에서, 시간 기간 동안, 가열 분위기에서, 층상 기판이 어닐링 온도에서 가열된다. 어닐링 단계(120)에서, 층상 기판은, BCP가 제 1 및 제 2 도메인들로 자기-구성(self-organize)하게 허용하기에 충분한 온도로 가열되고, 제 1 및 제 2 도메인들 각각은 그 각각의 중합체 블록을 특징으로 한다. 이러한 공중합체 마이크로페이즈 분리는 리소그래피 CD 및 피치 제어를 드라이빙(drive)한다.
BCP들의 어닐링은 키네틱(kinetic) 프로세스에 의해 가속화될 수 있는 열역학 현상이다. 따라서, 어닐링 온도를 진성 유리 전이 온도(Tg)보다 매우 높게 상승시킴으로써, 어닐링 단계(120)에 대해 더 적은 시간이 요구된다. 실시예에 따르면, 어닐링 온도는 블록 공중합체의 진성 유리 전이 온도(Tg)보다 약 50 ℃ 이상 더 높을 수 있다. 어닐링 온도는 100 내지 400 ℃의 범위에 있을 수 있다. PS-PDMS 블록 공중합체에 대하여, 예컨대, 어닐링 온도는 340 ℃일 수 있다. 실시예에 따르면, 층상 기판은, 배치 프로세싱을 위해 적응 및 설계된 노에서 가열될 수 있거나, 또는 단일 웨이퍼 프로세싱을 위해 적응 및 설계된 오븐에서 가열될 수 있다. 시간 기간은 2 분 내지 4 시간 초과의 범위에서의 시간을 포함할 수 있다.
본 발명의 실시예들에 따르면, 어닐링(가열) 분위기의 주변 산소 함유량은 약 50 ppm 이하일 수 있다. 예컨대, 주변 산소 함유량은, 약 45 ppm, 약 40 ppm, 약 35 ppm, 약 30 ppm, 약 25 ppm, 약 20 ppm, 약 15 ppm, 약 10 ppm, 약 8 ppm, 또는 약 5 ppm 이하일 수 있거나; 또는 주변 산소 함유량은, 이들의 각각의 가능한 조합과 동등한 또는 그 사이의 범위 내에 있는 레벨에서 유지될 수 있다. 예시적인 범위들은, 약 45 ppm 내지 약 5 ppm, 약 40 ppm 내지 약 8 ppm, 약 35 ppm 내지 약 10 ppm, 약 30 ppm 내지 약 15 ppm, 약 25 내지 약 20 ppm, 또는 약 30 ppm 내지 약 20 ppm을 포함하지만, 이에 제한되지는 않는다.
단계(130)에서, 층상 기판은, 진성 유리 전이 온도(Tg) 미만인 ??칭 온도로, ??칭 분위기에서 열적으로 ??칭된다. 예컨대, 냉각 분위기는 실온에서 유지될 수 있다. ??칭은 어닐링 온도로부터 ??칭 온도로, 층상 기판의 온도를 급속하게 낮춘다. 중합체 블록들의 키네틱 운동을 신속하게 감소시킴으로써, CD가 제어 또는 "고정(locked in)"되고, 이는, 더 우수한 CD 제어 타게팅을 가능하게 하고, 결함들을 줄인다. 층상 기판이 높은 온도에서 어닐링되는 경우에, 층상 기판의 온도가 진성 유리 전이 온도(Tg)보다 높다면, BCP의 피치 및 CD가 계속 변화하기 때문에, 급속한 ??칭이 중요하다.
본 발명의 실시예들에 따르면, ??칭(냉각) 환경의 주변 산소 함유량은 약 50 ppm 이하일 수 있다. 예컨대, 주변 산소 함유량은, 약 45 ppm, 약 40 ppm, 약 35 ppm, 약 30 ppm, 약 25 ppm, 약 20 ppm, 약 15 ppm, 약 10 ppm, 약 8 ppm, 또는 약 5 ppm 이하일 수 있거나; 또는 주변 산소 함유량은, 이들의 각각의 가능한 조합과 동등할 수 있거나 또는 그 사이의 범위 내에 있을 수 있다. 예시적인 범위는, 약 45 ppm 내지 약 5 ppm, 약 40 ppm 내지 약 8 ppm, 약 35 ppm 내지 약 10 ppm, 약 30 ppm 내지 약 15 ppm, 약 25 ppm 내지 약 20 ppm, 또는 약 30 ppm 내지 약 20 ppm을 포함하지만, 이에 제한되지는 않는다.
본 발명의 실시예들에 따르면, 어닐링된 층상 기판을 열적으로 ??칭하는 것은 수개의 방식들로 수행될 수 있다. 예컨대, 도 3에서 도시된 바와 같이, 열적 ??칭은, 제 2 분위기의 압력을 감소시키는 것(단계(132)), 층상 기판 주위에 대류성 가스를 유동시키는 것(단계(134)), 칠러 유닛과 소통하는 웨이퍼 척과 층상 기판을 접촉시키는 것(단계(136)), 및/또는 냉각 아암들과 층상 기판을 접촉시키는 것(단계(138)) 중 적어도 하나를 포함할 수 있다. 단계(134)에 대하여, 대류성 가스는, 예컨대, 질소, 아르곤, 또는 헬륨을 포함할 수 있다. ??칭은 또한, 열전기 펠티에 디바이스의 사용을 포함할 수 있다. ??칭 단계(130)는, 50 ℃/분 이상의 레이트로, 그리고/또는 대략 1 내지 5 분 이하의 시간의 지속기간에 걸쳐 발생할 수 있다. PS-PDMS의 예의 경우에, 층상 기판은 1 분 동안 340 ℃의 온도 내지 250 ℃의 온도로 (즉, 90 ℃/분의 레이트로) ??칭될 수 있다. ??칭 분위기는, 예컨대, 냉각 챔버(14), 구체적으로는 냉각 전면 개방 통합 포드(FOUP; Front Opening Unified Pod), 웨이퍼 보트, 또는 웨이퍼 핸들러, 포드를 포함할 수 있다.
가열 분위기가 가열 챔버(12)를 포함하고 ??칭 분위기가 냉각 챔버(14)를 포함하는 실시예에서, 층상 기판들의 하나 이상의 로트(lot)들이 동시에 프로세싱될 수 있다. 층상 기판들은, 층상 기판을 어닐링 온도에서 가열하기 전에, 가열 챔버(12)로 이송될 수 있으며, 열적으로 ??칭하기 전에, 냉각 챔버(14)로 이송될 수 있다. 이송은 이송 메커니즘(32)의 사용으로 달성될 수 있다. 다수의 챔버들에서 층상 기판들을 프로세싱함으로써, 매우 높은 어닐링 온도와 ??칭 온도 사이의 전이를 위해 단일 챔버를 기다릴 필요가 없다. 특히, 웨이퍼들의 배치(batch) 프로세싱 동안의 챔버 내부의 큰 열용량(thermal mass)의 결과로서, 어닐링과 ??칭 온도들 사이를 전이하는데 긴 시간이 걸린다.
분리된 가열 및 냉각 챔버들(12, 14)의 사용은 효율적이고, 시스템(10)이 높은 처리량을 유지할 수 있게 한다. 예컨대, 층상 기판들은, 60 분 동안 가열 챔버(12)에서 베이킹(bake)될 수 있고, 그 후에, 30 분 동안 냉각 챔버(14)로 진행될 수 있다. 제 1 로트(들)가 냉각 챔버(14)로 옮겨진 직후에, 새로운 층상 기판들이 가열 챔버(12) 내로 이동할 수 있다. 따라서, 단일 챔버를 곧바로 가열 및 냉각시키기 위한 시간을 요구하지 않으면서, 생산성이 유지될 수 있다.
다른 실시예에서, 단일 층상 기판이, 가열 단계 동안에, 오븐에서의 트랙 상에 배치될 수 있다. 더욱이, 층상 기판은, 열적으로 ??칭하기 전에, 트랙으로부터, 예컨대 칠링 플레이트 또는 오븐을 포함하는 냉각 챔버(14)로 이송될 수 있다. 이러한 실시예에서, 냉각 챔버(14)는, 칠러 유닛과 유체 소통하도록 구성된 웨이퍼 척; 예컨대 질소, 아르곤, 또는 헬륨의 대류성 블랭킷(blanket); 또는 냉각 아암들 중 적어도 하나를 포함할 수 있다. 냉각 아암들은 질소 버퍼 챔버에서 채용될 수 있다.
본 발명의 일 양상에 따르면, 높은 온도들에서의 BCP의 열적 열화를 최소화하기 위해, 프로세싱 환경들의 산소 함유량이 제어될 수 있다. 이제 도 2로 돌아가면, 단계(140)에서, 어닐링 온도 및 ??칭 온도를 BCP의 열적 열화 온도(Td) 아래로 유지하기 위해, 어닐링 및 ??칭 분위기에서의 산소 함유량이, 약 5 ppm 내지 약 8 ppm 이하의 레벨로 제어된다. PS-PDMS의 예에 대해, 5 ppm 미만의 산소 레벨이 요구될 수 있다. 층상 기판들이 높은 온도들에서 어닐링되는 경우에, 중합체들이 산화될 수 있고, 이는 CD 결함들을 야기한다. 따라서, 낮은 산소 환경을 유지하는 것은, 그러한 산화를 방지하는 것을 돕고, CD 및 피치를 고정시키는 것을 돕는다. 실시예에서, 층상 기판이 챔버들 사이에서 이송되는 동안에도, 낮은 산소 환경이 유지된다. 층상 기판의 온도가 중합체의 산화 레벨 아래에 있게 된 후에, 웨이퍼는, 예컨대 웨이퍼 FOUP 내의 분위기 상태로 이송될 수 있다.
도 4를 참조하면, 가열 챔버가 노를 포함하는 실시예에서, 캐스팅 단계(110)는, 블록 공중합체의 용매화된 층을 형성하기 위해, 유기 용매 및 블록 공중합체를 포함하는 용액을 기판에 도포하는 단계(단계(112))를 포함할 수 있다. 캐스팅 단계(110)는, 층상 기판을 어닐링 온도에서 가열하기 전에, 용매화된 층에서의 유기 용매의 양을 감소시키기 위해, 층상 기판의 도포-후(post-application) 베이크를 수행하는 단계(단계(114))를 더 포함할 수 있다. 도포-후 베이킹의 온도는 진성 유리 전이 온도(Tg)보다 더 낮다. 예컨대, 도포-후 베이크는 예컨대 대략 110 ℃의 온도에서 수행될 수 있다. 도포-후 베이크는, 노에 진입하는 캐스팅 용매의 양을 감소시키는 것을 돕고, 따라서, 노에서의 유기물 축적(organic build-up)을 방지하는 것을 돕는다. 도포-후 베이크는 트랙 상에서 수행될 수 있고, 배기(exhaust)는 시스템(10) 외부로 펌핑 다운될(pumped down) 수 있다.
이제 도 5를 참조하면, 단일 웨이퍼가 오븐에서 프로세싱되는 실시예에서, 방법(100)은, 층상 기판의 어닐링(120) 전에, 층상 기판을 둘러싸는 환경을, 100 torr 이하의 압력으로 진공배기(evacuating)하는 부가적인 단계(150)를 포함할 수 있다. 진공배기는 진공 펌프의 사용으로 달성될 수 있고, 그 결과로 이루어진 압력 변화가 오븐에서의 온도 감소를 야기할 수 있다.
방법(100)은, 가열 분위기를 제공하기 위해, 약 8 ppm 이하의 산소 함유량을 갖는 프로세싱 가스를, 층상 기판을 둘러싸는 환경으로 도입하는 선택적인 단계(160)를 더 포함할 수 있으며, 여기에서, 프로세싱 가스를 도입하는 것은, 진공배기 단계(150) 후에, 그리고 어닐링 단계(120) 전에, 수행된다. 프로세싱 가스는 가스상의 유기 용매를 포함하여, 약 100 torr 이상의 레벨로, 제 1 분위기에서, 유기 용매의 함유량을 제공함으로써, 용매-풍부 환경을 형성할 수 있다.
어닐링 단계(120) 및 ??칭 단계(130) 후에, 층상 기판이, 진성 유리 전이 온도(Tg) 아래의 온도에서 유지될 수 있는 한편, 동시에, 층상 기판을 둘러싸는 환경에서의 유기 용매 함유량을 낮춘다. 유기 용매 함유량의 이러한 저감은, 진성 유리 전이 온도(Tg) 아래의 온도에서의 베이크를 위해, 층상 기판을 도포-후 베이크 플레이트로 이송함으로써 달성될 수 있다. 이러한 베이킹은 일정 양의 잔여 용매를 제거하는 것을 도울 수 있다. 베이킹 온도는 용매가 느리게 제거되도록 충분히 낮아야 하고, 이는, 층상 기판의 박리(delamination) 및/또는 중합체 크래킹(cracking)을 최소화하는 것을 돕는다.
본 발명이 본 발명의 한가지 이상의 실시예들의 설명에 의해 예시되었고, 이러한 실시예들이 상당히 상세하게 설명되었지만, 이들은 그러한 세부사항으로 첨부된 청구항들의 범위를 한정하거나 또는 어떠한 방식으로도 제한하도록 의도되지 않는다. 부가적인 이점들 및 변형들이 당업자에게 쉽게 발상될 것이다. 따라서, 본 발명은, 본 발명의 더 넓은 양상들에서, 특정 세부사항들, 대표적인 장치 및 방법, 및 설명되고 도시된 예시적인 예들에 제한되지 않는다. 따라서, 일반적인 발명의 개념의 범위로부터 벗어나지 않으면서, 그러한 세부사항들로부터 이탈이 이루어질 수 있다.

Claims (20)

  1. 패터닝된 기판을 형성하는 방법에 있어서,
    층상(layered) 기판을 형성하기 위해, 기판 상에 블록 공중합체(block copolymer)의 층을 캐스팅(casting)하는 단계로서, 상기 블록 공중합체는 폴리스티렌-b-폴리(페로세닐디메틸실란) 또는 폴리(2-비닐피리딘)-b-폴리디메틸실록산이고, 진성(intrinsic) 유리 전이 온도(transition temperature)(Tg)를 갖는 것인 상기 캐스팅하는 단계와;
    제 1 시간 기간 동안, 제 1 분위기에서, 상기 층상 기판을 어닐링 온도에서 가열하는 단계로서, 상기 어닐링 온도는 상기 블록 공중합체의 진성 유리 전이 온도(Tg)보다 50 ℃ 이상 더 높은 것인 상기 가열하는 단계와;
    제 2 분위기에서, 50 ℃/분보다 더 높은 레이트로, 상기 층상 기판을 ??칭(quenching) 온도로 열적으로 ??칭하는 단계로서, 상기 ??칭 온도는 상기 진성 유리 전이 온도(Tg)보다 더 낮은 것인 상기 ??칭하는 단계와;
    상기 어닐링 온도 및 상기 ??칭 온도를 상기 블록 공중합체의 열적 열화(thermal degradation) 온도(Td) 아래로 유지하기 위해, 상기 제 1 및 제 2 분위기에서의 산소 함유량을 50 ppm 이하의 레벨로 제어하는 단계
    를 포함하는, 패터닝된 기판을 형성하는 방법.
  2. 제 1 항에 있어서, 상기 열적으로 ??칭하는 단계는,
    상기 제 2 분위기의 압력을 감소시키는 단계;
    상기 층상 기판 주위에 대류성(convective) 가스를 유동시키는 단계;
    상기 층상 기판을, 칠러(chiller) 유닛과 유체 소통하도록 구성된 웨이퍼 척과 접촉시키는 단계;
    상기 층상 기판을 냉각 아암(arm)들과 접촉시키는 단계; 또는
    상기 단계들의 조합들
    을 포함하는, 패터닝된 기판을 형성하는 방법.
  3. 제 2 항에 있어서, 상기 열적으로 ??칭하는 단계는, 질소를 포함하는 대류성 가스를 유동시키는 단계를 포함하는, 패터닝된 기판을 형성하는 방법.
  4. 제 1 항에 있어서, 상기 열적으로 ??칭하는 단계는, 5 분 이하의 시간의 지속기간에 걸쳐, 상기 어닐링 온도로부터 상기 ??칭 온도로 상기 층상 기판의 온도를 낮추는, 패터닝된 기판을 형성하는 방법.
  5. 제 1 항에 있어서, 상기 산소 함유량은 30 ppm의 산소 미만인, 패터닝된 기판을 형성하는 방법.
  6. 제 1 항에 있어서, 상기 제 1 분위기는 가열 챔버에 의해 형성되고, 상기 제 2 분위기는 냉각 챔버에 의해 형성되며, 상기 방법은,
    상기 층상 기판을 상기 어닐링 온도에서 가열하기 전에, 상기 층상 기판을 상기 가열 챔버로 이송하는 단계; 및
    열적으로 ??칭하기 전에, 상기 층상 기판을 상기 냉각 챔버로 이송하는 단계를 더 포함하는, 패터닝된 기판을 형성하는 방법.
  7. 제 6 항에 있어서, 상기 냉각 챔버는, 칠러 유닛과 유체 소통하도록 구성된 웨이퍼 척, 열전기 디바이스, 또는 대류성 가스 공급부와 유체 소통하는 가스 유입구 중 적어도 하나를 포함하는, 패터닝된 기판을 형성하는 방법.
  8. 제 6 항에 있어서, 상기 냉각 챔버는, 냉각 전면 개방 통합 포드(FOUP; Front Opening Unified Pod), 웨이퍼 보트, 또는 웨이퍼 핸들러 포드를 포함하는, 패터닝된 기판을 형성하는 방법.
  9. 제 6 항에 있어서, 상기 가열 챔버는 노(furnace)를 포함하는, 패터닝된 기판을 형성하는 방법.
  10. 제 1 항에 있어서, 상기 블록 공중합체의 층을 캐스팅하는 단계는,
    상기 블록 공중합체의 용매화된(solvated) 층을 형성하기 위해, 유기 용매 및 상기 블록 공중합체를 포함하는 용액을 상기 기판에 도포(applying)하는 단계; 및
    상기 층상 기판을 상기 어닐링 온도에서 가열하기 전에, 상기 용매화된 층에서의 상기 유기 용매의 양을 감소시키기 위해, 도포-후 베이크(post-application bake)를 수행하는 단계를 포함하는, 패터닝된 기판을 형성하는 방법.
  11. 제 10 항에 있어서, 상기 도포-후 베이크를 수행하는 단계는, 트랙 상에서 수행되는, 패터닝된 기판을 형성하는 방법.
  12. 제 10 항에 있어서, 상기 층상 기판을 상기 어닐링 온도에서 가열하기 전에, 상기 층상 기판을 노로 이송하는 단계를 더 포함하는, 패터닝된 기판을 형성하는 방법.
  13. 제 1 항에 있어서, 상기 층상 기판을 가열하는 단계는, 오븐에서의 트랙 상에서 발생하며, 상기 방법은,
    열적으로 ??칭하기 전에, 상기 트랙으로부터 냉각 챔버로 상기 층상 기판을 이송하는 단계를 더 포함하는, 패터닝된 기판을 형성하는 방법.
  14. 제 13 항에 있어서, 상기 냉각 챔버는, 칠러 유닛과 유체 소통하도록 구성된 웨이퍼 척, 질소 블랭킷(blanket), 또는 냉각 아암 중 적어도 하나를 포함하는, 패터닝된 기판을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 층상 기판을 상기 어닐링 온도에서 가열하기 전에, 상기 층상 기판을 둘러싸는 환경을, 100 torr 이하의 압력으로 진공배기(evacuating)하는 단계; 및
    50 ppm 이하의 산소 함유량을 갖는 상기 제 1 분위기를 제공하기 위해, 50 ppm 이하의 산소 함유량을 갖는 프로세싱 가스를, 상기 층상 기판을 둘러싸는 환경으로 선택적으로 도입하는 단계
    를 더 포함하며,
    상기 프로세싱 가스를 도입하는 단계는, 상기 층상 기판을 상기 어닐링 온도에서 가열하기 전에, 그리고 상기 진공배기하는 단계 후에 수행되는, 패터닝된 기판을 형성하는 방법.
  16. 제 15 항에 있어서, 상기 프로세싱 가스는 가스상(gaseous phase)의 유기 용매를 포함하여, 100 torr 이상의 레벨로, 상기 제 1 분위기에서 상기 유기 용매의 부분 압력을 제공함으로써, 용매-풍부(solvent-rich) 환경을 형성하는, 패터닝된 기판을 형성하는 방법.
  17. 제 16 항에 있어서, 열적으로 ??칭한 후에, 상기 층상 기판을 상기 진성 유리 전이 온도(Tg) 아래의 온도에서 유지하면서, 동시에, 상기 층상 기판을 둘러싸는 환경에서 상기 유기 용매 함유량을 낮추는 단계를 더 포함하는, 패터닝된 기판을 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 층상 기판을 도포-후 베이크 플레이트로 이송하는 단계; 및
    상기 층상 기판을 상기 진성 유리 전이 온도(Tg) 아래의 온도에서 베이킹하는 단계
    를 더 포함하며,
    상기 베이킹하는 단계는, 상기 층상 기판으로부터 일정 양의 상기 유기 용매를 제거하는, 패터닝된 기판을 형성하는 방법.
  19. 제 1 항에 있어서, 상기 제 1 시간 기간은 4 시간 미만인, 패터닝된 기판을 형성하는 방법.
  20. 제 1 항에 있어서, 상기 제 1 시간 기간은 1 시간인, 패터닝된 기판을 형성하는 방법.
KR1020157027372A 2013-03-15 2014-03-12 유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법 KR101667898B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361793204P 2013-03-15 2013-03-15
US61/793,204 2013-03-15
US14/202,689 2014-03-10
US14/202,689 US9209014B2 (en) 2013-03-15 2014-03-10 Multi-step bake apparatus and method for directed self-assembly lithography control
PCT/US2014/023926 WO2014150670A1 (en) 2013-03-15 2014-03-12 Multi-step bake apparatus and method for directed self-assembly lithography control

Publications (2)

Publication Number Publication Date
KR20150127645A KR20150127645A (ko) 2015-11-17
KR101667898B1 true KR101667898B1 (ko) 2016-10-28

Family

ID=51529020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027372A KR101667898B1 (ko) 2013-03-15 2014-03-12 유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법

Country Status (5)

Country Link
US (2) US9136110B2 (ko)
JP (1) JP2016518701A (ko)
KR (1) KR101667898B1 (ko)
TW (1) TWI539488B (ko)
WO (1) WO2014150670A1 (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9589825B2 (en) * 2014-09-10 2017-03-07 Shenzhen China Star Optoelectronics Technology Co., Ltd Glass substrate transfer system and robot arm thereof
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI619145B (zh) * 2015-04-30 2018-03-21 佳能股份有限公司 壓印裝置,基板運送裝置,壓印方法以及製造物件的方法
US9536759B2 (en) * 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US9349607B1 (en) 2015-07-07 2016-05-24 United Microelectronics Corp. Method of forming line pattern
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
JP6683578B2 (ja) 2016-09-23 2020-04-22 株式会社Screenホールディングス 基板処理方法
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
JP6811638B2 (ja) * 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6896447B2 (ja) * 2017-02-14 2021-06-30 株式会社Screenホールディングス 基板処理方法
JP6914048B2 (ja) * 2017-02-14 2021-08-04 株式会社Screenホールディングス 基板処理方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
JP6837929B2 (ja) * 2017-06-23 2021-03-03 東京エレクトロン株式会社 基板処理装置
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
EP3543789A1 (en) 2018-03-22 2019-09-25 Nokia Technologies Oy An apparatus and method for solvent vapour annealing of a block copolymer
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US20200333702A1 (en) * 2019-04-19 2020-10-22 Canon Kabushiki Kaisha Forming apparatus, forming method, and article manufacturing method
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413317B1 (en) 1999-09-14 2002-07-02 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20110272381A1 (en) 2010-05-05 2011-11-10 Dan Millward Methods Of Utilizing Block Copolymer To Form Patterns
US20120077127A1 (en) 2008-10-09 2012-03-29 Micron Technology, Inc. Methods Of Forming Patterns
US20120135146A1 (en) 2010-11-30 2012-05-31 Jsr Corporation Methods of forming topographical features using segregating polymer mixtures

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
JP3582584B2 (ja) * 1999-09-14 2004-10-27 東京エレクトロン株式会社 基板処理方法
AU1409201A (en) 1999-12-24 2001-07-09 Ivoclar Vivadent Ag Atraumatic approximal space dilator
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
JP2004519520A (ja) 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストのためのパーフルオロアルキルスルフォン酸化合物
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
JP4560507B2 (ja) 2003-02-19 2010-10-13 チバ ホールディング インコーポレーテッド ハロゲン化オキシム誘導体及び潜在的酸としてのそれらの使用
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US20050215713A1 (en) 2004-03-26 2005-09-29 Hessell Edward T Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
WO2006008250A2 (en) 2004-07-20 2006-01-26 Ciba Specialty Chemicals Holding Inc. Oxime derivatives and the use therof as latent acids
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
WO2007063690A1 (en) 2005-12-02 2007-06-07 Canon Kabushiki Kaisha Liquid discharge head producing method
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
WO2011039847A1 (ja) * 2009-09-29 2011-04-07 株式会社 東芝 パターン形成方法
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
EP2643413A1 (en) 2010-11-24 2013-10-02 Dow Corning Corporation Controlling morphology of block copolymers
WO2012084558A1 (en) 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
JP5254381B2 (ja) * 2011-02-23 2013-08-07 株式会社東芝 パターン形成方法
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
US8956804B2 (en) 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
US9182673B2 (en) 2011-07-18 2015-11-10 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography
WO2013040483A1 (en) 2011-09-15 2013-03-21 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8710150B2 (en) * 2012-02-10 2014-04-29 Rohm And Haas Electronic Materials Llc Blended block copolymer composition
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413317B1 (en) 1999-09-14 2002-07-02 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20120077127A1 (en) 2008-10-09 2012-03-29 Micron Technology, Inc. Methods Of Forming Patterns
US20110272381A1 (en) 2010-05-05 2011-11-10 Dan Millward Methods Of Utilizing Block Copolymer To Form Patterns
US20120135146A1 (en) 2010-11-30 2012-05-31 Jsr Corporation Methods of forming topographical features using segregating polymer mixtures

Also Published As

Publication number Publication date
WO2014150670A1 (en) 2014-09-25
JP2016518701A (ja) 2016-06-23
US20140273523A1 (en) 2014-09-18
US9136110B2 (en) 2015-09-15
US9209014B2 (en) 2015-12-08
TWI539488B (zh) 2016-06-21
TW201506990A (zh) 2015-02-16
US20140273522A1 (en) 2014-09-18
KR20150127645A (ko) 2015-11-17

Similar Documents

Publication Publication Date Title
KR101667898B1 (ko) 유도 자기-조립 리소그래피 제어를 위한 다단계 베이크 장치 및 방법
US9613801B2 (en) Integration of absorption based heating bake methods into a photolithography track system
US8945408B2 (en) Etch process for reducing directed self assembly pattern defectivity
TWI570801B (zh) 用以降低定向自組裝圖樣瑕疵狀態之蝕刻程序
KR101691321B1 (ko) 방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
EP2994509B1 (en) Process for manufacturing self-assembled block copolymer films
KR102328022B1 (ko) 자기 조립 패턴을 사용하는 메모리 커패시터 구조체를 형성하는 방법
US20140273290A1 (en) Solvent anneal processing for directed-self assembly applications
TW201407661A (zh) 使用嵌段共聚物之圖案形成方法及其製品
KR101759817B1 (ko) 방향성 자동 조립 케모 에피택시 애플리케이션들에서 유기막을 제거하기 위한 트랙 처리
JPH04211128A (ja) 半導体基板表面処理方法および半導体基板表面処理装置
KR101449850B1 (ko) 용매 어닐링 방법, 이를 이용한 블록 공중합체 패턴 형성 방법 및 이에 의하여 제조된 블록 공중합체 패턴
TWI536450B (zh) 用以降低使用直流重疊之定向自組裝圖樣瑕疵狀態的蝕刻程序
KR101776265B1 (ko) 하드마스크 층의 형성 방법, 반도체 소자의 제조방법, 및 이에 따른 반도체 소자
JP2004014753A (ja) 熱処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 4