KR101332104B1 - Cmos 트랜지스터 게이트들에서의 리세스된 일함수 금속 - Google Patents

Cmos 트랜지스터 게이트들에서의 리세스된 일함수 금속 Download PDF

Info

Publication number
KR101332104B1
KR101332104B1 KR1020087027484A KR20087027484A KR101332104B1 KR 101332104 B1 KR101332104 B1 KR 101332104B1 KR 1020087027484 A KR1020087027484 A KR 1020087027484A KR 20087027484 A KR20087027484 A KR 20087027484A KR 101332104 B1 KR101332104 B1 KR 101332104B1
Authority
KR
South Korea
Prior art keywords
work function
function metal
oxide
metal layer
spacers
Prior art date
Application number
KR1020087027484A
Other languages
English (en)
Other versions
KR20080110891A (ko
Inventor
윌리 라크매디
브라이언 맥킨타이어
마이클 케이. 하퍼
수바쉬 엠. 조쉬
Original Assignee
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코오퍼레이션 filed Critical 인텔 코오퍼레이션
Publication of KR20080110891A publication Critical patent/KR20080110891A/ko
Application granted granted Critical
Publication of KR101332104B1 publication Critical patent/KR101332104B1/ko

Links

Images

Classifications

    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

트랜지스터 게이트는 표면 상에 위치된 한쌍의 스페이서들을 갖는 기판, 스페이서들 사이의 기판 상에 등각으로 성막된 하이-k 유전체, 하이-k 유전체 상에 및 스페이서 측벽들의 일부를 따라 등각으로 성막된 리세스된(recessed) 일함수 금속, 리세스된 일함수 금속 상에 등각으로 성막된 제2 일함수 금속, 및 제2 일함수 금속 상에 성막된 전극 금속을 포함한다. 트랜지스터 게이트는 기판 상의 스페이서들 사이의 트랜치에 하이-k 유전체를 등각으로 성막하고, 하이-k 유전체 상에 일함수 금속을 등각으로 성막하고, 일함수 금속 상에 희생 마스크를 성막하고, 일함수 금속의 일부를 노출하기 위해 희생 마스크의 일부를 에칭하며, 리세스된 일함수 금속을 형성하기 위해 일함수 금속의 노출된 일부를 에칭함으로써 형성될 수 있다. 제2 일함수 금속 및 전극 금속은 리세스된 일함수 금속 상에 성막될 수 있다.
하이-k, 일함수 금속, 트랜지스터 게이트, 전극 금속

Description

CMOS 트랜지스터 게이트들에서의 리세스된 일함수 금속{RECESSED WORKFUNCTION METAL IN CMOS TRANSISTOR GATES}
차세대 집적 회로들의 제조에서, CMOS(complementary metal-oxide-semiconductor) 트랜지스터들에 대한 게이트 전극들의 제조는 실리콘 이산화물 및 폴리실리콘을 하이-k 유전 재료(high-k dielectric material)들 및 금속으로 대체하도록 발전되어 왔다. 대체 금속 게이트 프로세스는 게이트 전극을 형성하기 위해 종종 사용된다. 통상의 대체 금속 게이트 프로세스는, 반도체 기판 상의 한 쌍의 스페이서들 사이에 하이-k 유전 재료 및 희생(sacrificial) 게이트를 형성함으로써 시작한다. 어닐링 프로세스와 같은 추가 처리 단계들 후에, 희생 게이트는 제거되고, 결과적으로 트랜치(trench)는 하나 이상의 금속층들로 채워진다. 금속층들은 일함수 금속들뿐만 아니라 전극 금속층들을 포함할 수 있다.
원자층 성막(atomic layer deposition, ALD), 화학적 기상 성막(chemical vapor deposition, CVD), 물리적 기상 성막(physical vapor deposition, PVD), 전기도금(electroplating, EP) 및 무전해 도금(electroless plating, EL)과 같은 프로세스들은 금속 게이트 전극을 형성하는 하나 이상의 금속층들을 성막하는데 사용될 수 있다. 공교롭게도, CMOS 트랜지스터 치수들이 감소됨에 따라, 예를 들어, 트랜지스터 게이트 길이들이 45nm 이하에 도달함에 따라, 트랜치 오버행(overhang) 및 보이드(void) 형성과 같은 문제들은, 특히, 듀얼-금속 게이트 전극이 요구될 때, 점점 도전적으로 점점 성행하게 된다. 이는, 보다 작은 치수들에서, 금속 게이트 전극을 형성하기 위해 사용된 트랜치의 종횡비(aspect ratio)가, 듀얼-금속층들이 성막됨에 따라 매우 현저해지기 때문이다. 본 기술분야의 통상의 기술자들에 의해 인지될 바와 같이, 그러한 높은 종횡비 트랜치의 금속화(metallization)는 사실상 종종 보이드 형성을 초래한다.
따라서, 45nm 노드 레벨 이상으로 CMOS 트랜지스터들에 대한 듀얼-금속 게이트 전극들을 형성하는 개선된 프로세스가 요구된다.
도 1A 내지 1D는 듀얼-금속 게이트 전극에 대한 종래의 제조 프로세스를 도시한다.
도 2는 본 발명의 구현에 따라 듀얼-금속 게이트 전극을 제조하는 방법이다.
도 3A 내지 3J는 도 2의 방법을 실행할 때 형성되는 구조들을 도시한다.
듀얼-금속 게이트 전극을 형성하는 시스템들 및 방법들이 본원에 기술된다. 다음의 설명에서, 예시적인 구현들에 대한 다양한 양태들이 본 기술분야 이외의 기술자들에게 그들의 작업(work)의 실체(substance)를 전달하기 위해, 본 기술분야의 통상의 기술자들에 의해 채용된 일반적인 용어(term)들을 사용하여 기술될 것이다. 그러나, 본 발명은 기술된 양태들 중 단지 일부만으로도 실행될 수 있다는 것은, 본 기술분야의 통상의 기술자들에게 명백할 것이다. 설명을 위해, 예시적인 구현 들에 대한 완전한 이해를 제공하기 위해 특정 숫자들, 재료들 및 구성(configuration)들이 설명된다. 그러나, 본 발명이 특정 상세들없이 실행될 수 있다는 것은 본 발명의 통상의 기술자에게 명백할 것이다. 다른 예들에서, 공지된 특징들은 예시적인 구현들을 모호하게 하지 않게 하기 위해 생략되거나 단순화된다.
본 발명을 이해하는데 가장 유용한 방식으로, 다수의 개별(discrete) 동작들과 같은 다양한 동작들이 차례로 기술될 것이다. 그러나, 설명의 순서가 이러한 동작들이 반드시 순서 의존적임을 의미하는 것으로 해석되어서는 안된다. 특히, 이러한 동작들은 제공된 순서대로 수행될 필요는 없다.
본 발명의 구현들은, 보이드-프리(void-free) 듀얼-금속 게이트 전극이 45nm 또는 그 이하의 게이트 길이를 갖는 트랜지스터들을 포함하는 CMOS 트랜지스터에 대해 제조될 수 있도록 한다. 듀얼-금속 게이트 전극은 트랜치에 형성되고, 적어도 2개의 일함수 금속층들 및 적어도 하나의 전극 금속층(충전(fill) 금속층으로서 알려지기도 함)을 포함하는 다중 금속층들을 포함한다. 본 발명의 구현에 따르면, 일함수 금속층들 중 하나는 트랜치쪽의 입구(entrance)가 더 넓게 될 수 있는 리세스된 일함수 금속층(recessed workfunction metal layer)으로 구성되어, 트랜치가 덜 현저한(less aggressive) 종횡비를 갖도록 한다. 트랜치의 다음의(subsequent) 금속화는 보이드-프리 듀얼-금속 게이트 전극을 생성할 수 있다.
참조로서, 도 1A 내지 1D는 하이-k 유전 재료 상에 듀얼-금속 게이트 전극을 형성하기 위한 종래의 프로세스를 도시한다. 도 1A는, 듀얼-금속 게이트 전극이 형성될 수 있는 기판(100)을 도시한다. 기판(100)은 다른 재료들 중 벌크 실리콘 또는 SOI(silicon-on-insulator) 서브구조일 수 있다. 기판(100)은 본 기술분야에서 공지된 스페이서들(102) 및 절연 구조들(104)을 포함한다. 예를 들어, 스페이서들(102)은 실리콘 질화물을 사용하여 형성될 수 있고, 절연 구조들은(104) (도 1에 도시된 바와 같은) ILD(interlayer dielectric)들, 실리콘 이산화물층들 또는 STI(shallow trench isolation) 구조들과 같은 구조들일 수 있다. 게이트 전극이 형성될 수 있는 트랜치 영역(106)이 스페이서들(102) 사이에 있다.
도 1B는 기판(100) 상 및 트랜치(106) 내의 하이-k 게이트 유전층(108)의 형성을 도시한다. 도시된 바와 같이, 하이-k 게이트 유전층(108)은, 트랜치(106)의 하부 및 측벽을 포함하는, 그것이 성막되는 표면들을 등각으로 덮는다(conformally blankets). 하이-k 유전층(108)의 질을 향상시키기 위해 희생 게이트의 성막 이후 어닐링 프로세스와 같이, 하이-k 게이트 유전층(108)의 성막 후 하나 이상의 프로세스들이 행해질 수 있다. 희생 게이트가 사용되면, 그것은 이후에 제거되어 하나 이상의 금속층들로 대체될 수 있다.
예를 들어, 도 1C는, 선택적인 희생 게이트의 제거에 따른, 트랜치(106)로의 하나 이상의 일함수 금속층들의 성막을 도시한다. 여기서, 2개의 일함수 금속층들로 제1 일함수 금속층(110) 및 제2 일함수 금속층(112)이 사용된다. 이러한 2개의 일함수 금속들(110 및 112)은 듀얼-금속 게이트 전극을 형성한다. 도시된 바와 같이, 트랜치(106)의 종횡비는 성막된 각각의 층에 따라 증가한다. 2개의 일함수 층들(110 및 112)이 성막된 후, 채워질 남겨진 트랜치 갭(gap)의 종횡비는 매우 현저 하다.
도 1D는 트랜치(106)로의 전극 금속층(114)의 성막을 도시한다. 전극 금속층(114)은 듀얼-금속 게이트 전극의 형성을 완성하는 역할을 한다. 전극 금속층(114)는 일함수 금속들로서 종래에 사용된 금속들보다 더 쉽게 폴리싱(polishing)되는 충전 금속을 사용하여 종종 형성된다. 도 1D에 도시된 바와 같이, 트랜치(106)의 큰 종횡비는 전극 금속층(114)의 성막 동안 트랜치 오버행이 생기도록 하여, 트랜치(106) 내에 보이드(116)가 생성된다. 보이드(116)의 출현은 듀얼-금속 게이트 전극의 전기 저항을 증가시키고, 그의 신뢰성을 감소시킨다.
이러한 문제를 처리하기 위해, 본 발명의 방법들은, 보이드-프리 듀얼-금속 게이트 전극들이 형성될 수 있도록 하는 제조 프로세스를 제공한다. 도 2는 본 발명의 구현에 따라 듀얼-금속 게이트 전극을 형성하는 방법(200)이다. 도 3A 내지 3J는 도 2의 방법(200)이 실행될 때 형성되는 구조들을 도시한다. 명확성을 위해, 도 3A 내지 3J의 구조들은 방법(200)의 설명 동안 참조될 것이다. 원한다면, 방법(200)이 대체 금속 게이트 프로세스에 통합될 수 있다는 것은, 본 기술분야의 통상의 기술자들에 의해 인지될 것이다.
방법(200)을 시작하면, 트랜치에 의해 분리된 적어도 한 쌍의 스페이서들을 포함하는 기판이 제공된다(도 2의 프로세스(202)). 상술된 바와 같이, 기판은, 벌크 실리콘 또는 SOI(silicon-on-insulator) 구조와 같은 반도체 프로세싱에서 통상 사용되는 구조로 구성될 수 있다. 다른 구현들에서, 게르마늄, 인듐 안티모나이드, 납 텔루라이드, 인듐 아세나이드, 인듐 인화물(phosphide), 갈륨 아세나이드 또는 갈륨 안티모나이드를 포함하지만, 이에 한정되지 않는, 실리콘과 결합될 수 있거나 또는 결합될 수 없는 선택적인 재료들을 사용하여 기판이 형성될 수 있다. 기판을 형성할 수 있는 재료들의 몇몇 예들이 본원에 기술되었지만, 반도체 디바이스가 형성될 수 있는 기초(foundation)의 역할을 하는 임의의 재료가 본 발명의 사상 및 범위 내에 있다. 실리콘 질화물, 실리콘 산화물, 실리콘 탄화물, 또는 임의의 다수의 로우(low)-k 질화물들 또는 산화물 재료들과 같은 재료로부터 스페이서들이 형성될 수 있다.
도 3A로 되돌아 가면, 한 쌍의 스페이서들(302)을 포함하는 기판(300)이 도시된다. 스페이서들은 트랜치(304)에 의해 분리된다. 기판(300)은, ILD층(306) 및 STI 구조들(도시되지 않음)과 같은, 다른 구조들을 포함할 수도 있다.
다음으로, 하이-k 게이트 유전층이 트랜치 내에 성막된다(도 2의 프로세스(204)). CVD 또는 ALD 프로세스와 같은, 하이-k 게이트 유전층을 성막하기 위해 등각(conformal) 성막 프로세스가 사용될 수 있다. 하이-k 게이트 유전층에 대해 사용될 수 있는 재료들은 하프늄 산화물, 하프늄 실리콘 산화물, 란타늄 산화물, 라타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈륨 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸디움 탄탈륨 산화물 및 납 아연 니오베이트(niobate)를 포함하지만 이에 한정되지 않는다. 하이-k 게이트 유전층을 형성하기 위해 사용될 수 있는 재료들의 몇몇 예들이 여기에 기재되었지만, 그 층은 다른 재료들로 구성될 수 있다. 방법(200)이 대체 금속 게이트 프로 세스에 통합되는 구현들에서, 하이-k 유전층에 대해, 하이-k 유전층의 질을 향상시키기 위해 어닐링 프로세스와 같은, 부가적인 프로세싱이 수행될 수 있다.
도 3B는 트랜치(304) 내에 등각으로 성막된 하이-k 게이트 유전층(308)을 도시한다. 도시된 바와 같이, 하이-k 게이트 유전층(308)은 등각으로 성막되기 때문에, 층(308)은 트랜치(304)의 측벽들뿐만 아니라 트랜치(304)의 하부 상에 형성될 것이다. 하이-k 게이트 유전층(308)은 또한 ILD층(306) 상에 형성될 것이다. 일부 구현들에서, 하이-k 게이트 유전층(308)은 약 60Å 두께보다 작고, 종종 약 5Å과 약 40Å 두께 사이일 수 있다. 하이-k 유전층(308)의 두께는 형성될 게이트 전극의 요구사항들에 따라 변할 수 있다.
대안적인 프로세스 흐름에서, 하이-K 게이트 유전층은 스페이서들이 형성되기 전에 서브트랙티브(subtractive) 프로세스에 의해 형성될 수 있다. 예로서, 하이-k 유전층은, 기판 상에 형성되고, 플래너(planar) 하이-k 게이트 유전층을 형성하기 위해 에칭백(etch back)될 수 있다. 그 후, 스페이서들이 하이-k 게이트 유전층의 반대측들 상에 형성될 수 있다. 이러한 대안적인 구현에서, 하이-k 게이트 유전층은 트랜치의 하부에만 존재하고 트랜치 측벽들 상에 존재하지 않는다.
하이-k 게이트 유전층이 성막된 후, 제1 일함수 금속층이 성막될 수 있다(도 2의 프로세스(206)). 일함수 금속들에 대해, CVD, ALD, PVD, 스퍼터링, 전기도금 또는 무전해 도금과 같은 종래의 성막 프로세스들이 사용될 수 있다. 본 발명의 일부 구현들에서, 제1 일함수 금속층의 두께는 약 25Å과 약 200Å 사이일 수 있다.
도 3C로 되돌아 가면, 하이-k 유전층(308) 상에 성막된 제1 일함수 금속층(310)이 도시된다. 제1 일함수 금속층(310)은, 트랜지스터가 PMOS 트랜지스터인지 또는 NMOS 트랜지스터인지에 따라, p-형 금속 또는 n-형 금속으로 구성될 수 있다. 일부 구현들에서, PMOS 트랜지스터가 형성되고, p-형 일함수 금속층을 형성하기 위해 사용될 수 있는 재료들은 루테늄, 팔라듐, 백금, 코발트, 니켈 및 도전성 금속 산화물들, 예를 들어, 루테늄 산화물을 포함하지만 이에 한정되지 않는다. p-형 금속층은 약 4.9eV와 약 5.2eV 사이에 있는 일함수를 갖는 PMOS 게이트 전극을 형성하는 것이 가능할 것이다. 선택적으로, 일부 구현들에서, NMOS 트랜지스터가 형성되고, n-형 일함수 금속층을 형성하기 위해 사용될 수 있는 재료들은 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄 및 그들의 합금들, 예를 들어, 이러한 구성요소들, 즉, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈륨 탄화물 및 알루미늄 탄화물을 포함하는 금속 탄화물들을 포함하지만 이에 한정되지 않는다. n-형 금속층은 약 3.9eV와 약 4.2eV 사이에 있는 일함수를 갖는 NMOS 게이트 금속의 형성을 가능하게 할 것이다.
다음으로, 희생 마스킹 재료는 제1 일함수 금속 상의 트랜치 내에 성막된다(도 2의 프로세스(208)). 희생 마스킹 재료는 리세스된 일함수 금속층을 정의하기 위해 사용될 것이다. 본 발명의 일부 구현들에서, 희생 마스킹 재료는, SOG(spin-on-glass) 재료 예를 들어, 희생 광흡수 재료(sacrificial light absorbing material, SLAM)로 구성될 수 있다. 다른 구현에서, 희생 마스킹 재료는 BARC(bottom anti-reflective coating material)로 구성될 수 있다. SLAM들 및 BARC들은 반도체 프로세싱에 공통적으로 사용되고, 이러한 프로세스에서 필수적인 기능성을 제공한다. SLAM들 및 BARC들의 광-흡수 속성들은 본 발명의 구현들에 관련되지 않는다는 것을 주목해야 한다. SOG 재료가 사용되면, 제1 일함수 금속층 상에 SOG 재료를 성막하고 평탄화하기 위해 SOD(spin-on deposition) 프로세스가 사용될 수 있다. 사용될 수 있는 2개의 특정 SOG 재료들은 193nm SLAM 및 248nm SLAM과 같은 유기 SOM(organic spin-on material)들이다.
도 3D는 제1 일함수 금속층(310) 상의 희생 마스킹 재료(312)의 성막을 도시한다. 희생 마스킹 재료(312)는 트랜치를 완전히 채우고, SOD 프로세스를 사용하여 성막될 수 있다. 상술된 바와 같이, SOD 성막 프로세스의 사용으로 희생 마스킹 재료(312)를 평탄화할 수 있다.
희생 마스크 성막 후, 희생 마스킹 재료를 부분적으로 에칭백하기 위해 에칭 프로세스가 실행될 수 있다(도 2의 프로세스(210)). 본 발명의 다양한 구현들에서, 습식 에칭 화학물질(chemistry) 또는 건식 에칭 화학물질이 사용될 수 있다. 사용된 특정 습식 또는 건식 에칭 화학물질은 사용되는 희생 마스킹 재료에 적합해야 한다. 예를 들어, SLAM 재료가 희생 마스킹 재료로 사용되면, 그 때 적합한 습식 에칭 화학물질은 플루라인-기반의(fluorine-based) 습식 에칭 화학물질로 구성될 수 있다. 일 구현에서, 그러한 플루라인-기반의 습식 에칭은 플루오르화수소(hydrogen fluoride)(HF), 플루오르화암모늄(ammonium fluoride)(NH4F), 및 SLAM층을 에칭하기 위한 증류수의 혼합물(mixture)을 사용할 수 있다. 다른 구현에서, SLAM, 예를 들어, 극성 용매 매질(polar solvent medium)에서의 테트라메틸 암모늄 수산화물(tetramethyl ammonium hydroxide)(TMAH) 및 칼륨 수산화물(potassium hydroxide)(KOH)의 혼합물을 에칭하기 위해, 수산화기(hydroxyl)-기반의 습식 에칭 화학물질이 사용될 수 있다. 대안적으로, SLAM 재료에 대해 적합한 건식 에칭 화학물질들은 CH2F2 건식 에칭 화학물질, SF6 건식 에칭 화학물질 또는 NF3 건식 에칭 화학물질로 구성될 수 있다.
도 3E는 에칭 화학물질을 사용하여 에칭된 후의 희생 마스킹 재료(312)를 도시한다. 본 발명의 일부 구현들에서, 희생 마스킹 재료(312)는 트랜치 높이의 대략 1/2에서 3/4까지 에칭된다. 희생 마스킹 재료(312)의 에칭 프로세스는 일반적으로, 제1 일함수 금속층(310)에 거의 영향을 주지 않는다.
다음으로, 제1 일함수 금속층에 대한 다른 부분적인 에칭 프로세스가 실행된다(도 2의 프로세스(212)). 제1 일함수 층의 부분적인 에칭은 금속의 노출된 부분들만을 제거하고; 희생 마스킹 재료에 의해서 여전히 커버되는 제1 일함수 층의 부분들은 에칭되지 않는다. 이러한 부분적인 에칭은 도 3F에 도시된 바와 같이, "U"형상의 리세스된 일함수 금속층(310)을 형성한다. 일부 구현들에서, 제1 일함수 금속층을 에칭하기 위해 습식 에칭 화학물질이 사용될 수 있다. 예를 들어, 일 구현에서, 제1 일함수 금속층을 에칭하기 위해, 표준 세정 용액으로서도 알려진, 증류수, 암모늄 수산화물(NH4OH), 및 과산화수소(H2O2)가 사용될 수 있다. 사용될 수 있는 다른 습식 에칭 화학물질은 증류수 내의 황산 및 과산화물의 혼합물이다. 본 발명의 구현들에서 사용될 수 있는 부가적인 습식 에칭 화학물질은 인산, 아세트산 및 질산의 혼합물, 염산, 과산화수소 및 물의 혼합물, 및 염산, 질산 및 물의 혼합물을 포함한다. 다른 구현들에서, 제1 일함수 금속층을 부분적으로 에칭하기 위해 건식 에칭 화학물질들이 사용될 수 있다.
도 3F에 도시된 바와 같이, 일함수 금속층(310)의 에칭은, 일함수 금속층(310)이 스페이서들(302)에 비해 리세스되도록 하여, 트랜치(304)의 개구부(opening)를 넓혀서 그의 종횡비를 감소시키고, 이후에 성막된 금속층이 트랜치(304)에 보다 쉽게 들어가도록 한다. 트랜치(304)의 개구부의 이러한 넓힘은 실질적으로 트랜치 오버행(overhang)의 생성을 감소시키거나 또는 제거한다. 예를 들어, 제1 일함수 금속층(310)이 약 25Å 두께인 구현들에서, 제1 일함수 금속층(310)을 리세스하여 대략 50Å만큼 트랜치(304)를 오픈시킨다.
제1 일함수 금속층(310)이 리세스된 후, 남아있는 희생 마스킹 재료가 제거될 수 있다(도 2의 프로세스(214)). 본 발명의 구현들에 따르면, 프로세스 단계(210)에서 희생 마스킹 재료를 부분적으로 에칭하기 위해 사용된 동일한 습식 화학물질 프로세스는 여기에서 남아있는 희생 마스킹 재료를 제거하기 위해 사용될 수 있다. 다른 구현들에서, 대안적인 에칭 프로세스들이 사용될 수 있다. 도 3G는, 남아있는 희생 마스킹 재료(312)가 제거된 후의 리세스된 일함수 금속층(310)을 도시한다.
다음으로, 듀얼 금속 게이트 전극을 형성하기 위해 제2 일함수 금속층이 성막된다(도 2의 프로세스(216)). 또한, 일함수 금속들에 대해, CVD, ALD, PVD, 스 퍼터링, 전기도금 또는 무전해 도금과 같은 종래의 성막 프로세스들이 사용될 수 있다. 본 발명의 일부 구현들에서, 제2 일함수 금속층의 두께는 약 25Å과 약 200Å 사이일 수 있다.
제2 일함수 금속은 p-형 금속 또는 n-형 금속일 수 있다. 일부 구현들에서, 제1 및 제2 일함수 금속들 모두는 동일 타입(즉, 2개의 n-형 금속들 또는 2개의 p-형 금속들)으로 구성될 수 있지만, 다른 구현들에서, 제1 및 제2 일함수 금속들은 상이한 타입들(즉, 1개의 p-형 금속과 결합된 1개의 n-형 금속)로 구성될 수 있다. 제2 일함수 금속층에 사용될 수 있는 p-형 일함수 금속들은 루테늄, 팔라듐, 백금, 코발트, 니켈 및 도전성 금속 산화물들 예를 들어, 루테늄 산화물을 포함하지만, 이에 한정되지 않는다. 제2 일함수 금속층에 사용될 수 있는 n-형 일함수 금속들은, 하프늄, 지르코늄, 티타늄, 탄탈륨, 알루미늄 및 그들의 합금들, 예를 들어, 이러한 구성요소들, 즉, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈륨 탄화물 및 알루미늄 탄화물을 포함하는 금속 탄화물을 포함하지만, 이에 한정되지 않는다.
도 3H는 리세스된 일함수 금속(310) 상에 등각으로 성막된 제2 일함수 금속층(314)를 도시한다. 도시된 바와 같이, 제1 및 제2 일함수 금속층들(310/314) 둘 다 성막되더라도, 트랜치(304)는 이후의 금속화를 위한 장점인 넓은 개구부를 여전히 갖는다. 가장 좁은 부분에서의 트랜치(304)의 종횡비 조차, 종래의 듀얼-금속 게이트 프로세스들에서 형성된 트랜치의 종횡비보다 아주 낮다.
제2 일함수 금속층이 성막된 후, 충전 금속으로서도 알려진 전극 금속은 금 속으로 트랜치를 완전히 채우도록 성막된다(도 2의 프로세스(218)). 충전 금속은 일반적으로 쉽게 폴리싱될 수 있는 재료, 예를 들어, 텅스텐, 알루미늄, 구리, 또는 다른 저저항 금속들이다. 도 3I는 실질적으로 보이드-프리 방식으로 트랜치(304)를 완전히 채우는 충전 금속(316)의 성막을 도시한다.
마지막으로, 본 발명에 따른 듀얼-금속 게이트 전극의 제조를 완료하기 위해 재료들의 초과(excess) 층들을 제거하도록, CMP(chemical mechanical polishing) 프로세스가 구현될 수 있다(도 2의 프로세스(220)). 도 3J는 CMP 프로세스가 초과 재료를 제거한 후의 최종 듀얼-금속 게이트 전극(318)을 도시한다. 예를 들어, CMP 프로세스는 초과 충전 금속(316)만을 제거하는 것이 아니라, 제2 일함수 금속층(314) 및 하이-k 유전층(308)의 초과 부분들을 제거할 수 있다.
본 발명의 구현들에서, 듀얼-금속 게이트 전극(318)은 CMOS 트랜지스터들을 형성하기 위해 사용될 수 있다. 예를 들어, 소스 영역 및 드레인 영역이 스페이서들(302)에 인접한 기판(300) 내에 형성될 수 있다. 스페이서들(302)에 인접한 기판(300) 영역들은 그러한 소스 및 드레인 영역들을 형성하기 위해 이온 주입 프로세스를 사용하여 도핑될 수 있다. 또한, 채널 영역은 듀얼-금속 게이트 전극(318) 아래에 형성될 수 있다. 형성된 CMOS 트랜지스터를 이용가능하게 하기 위해 소스 영역, 드레인 영역 및 듀얼-금속 게이트 전극(318)으로의 전기적 접촉들이 형성될 수 있다.
따라서, 듀얼-금속 게이트 전극이 종래의 듀얼-금속 게이트 제조 프로세스들보다 작은 종횡비 및 더 넓은 트랜치 개구부를 갖게 제조될 수 있는 본 발명의 구 현들이 기재된다. 그 결과, 더 적은 트랜치 오버행 및 더 적은 보이드가 형성되어, 저저항 및 고신뢰성을 갖는 금속 게이트 전극들이 된다. 제1 및 제2 일함수 금속층들뿐만 아니라 충전 금속층을 형성하기 위해 사용될 수 있는 재료들에 대한 일부 예들이 본원에 기재되더라도, 그러한 금속층들은, 본 기술분야의 통상의 기술자들에게 명백할 바와 같이, 많은 다른 재료들로부터 이루어질 수 있다.
요약서에 기재된 것을 포함하여, 본 발명의 도시된 구현들에 대한 상기 설명은, 기재된 정확한 형태로, 본 발명을 규명하거나 또는 제한할 의도는 아니다. 예를 들어, 본 발명의 특정 구현들이 예시의 목적으로 본원에 기술되었지만, 관련 분야의 통상의 기술자들이 인지할 바와 같이, 다양한 균등한 수정들이 본 발명의 범위 내에서 가능하다.
상술된 설명의 관점에서의 이러한 수정들이 본 발명에 대해 이루어질 수 있다. 다음의 특허청구범위에 사용된 용어들은 명세서 및 특허청구범위에 기재된 특정 구현들로 본 발명을 제한하는 것으로 해석되어서는 안된다. 오히려, 본 발명의 범위는 다음의 특허청구범위에 의해 전체적으로 결정될 것이고, 특허청구범위 해석에 대해 수립된 윈칙들에 따라 해석될 것이다.

Claims (20)

  1. 기판;
    상기 기판의 표면 상에 위치하고, 사이에 트랜치를 정의하는 한쌍의 스페이서들;
    상기 트랜치의 하부 및 측벽들 상에 등각으로 성막된 하이-k 게이트 유전층(high-k gate dielectric layer) - 상기 하이-k 게이트 유전층의 상부는 상기 측벽들의 상부와 실질적으로 동일한 높이이고, 상기 하이-k 게이트 유전층은 하부 부분과 두 개의 측벽 부분들을 포함함 -;
    상기 스페이서들의 측벽들의 부분을 따라 그리고 상기 한쌍의 스페이서들 사이의 상기 기판의 상기 표면을 따라 있는 상기 하이-k 게이트 유전층의 하부 및 두 개의 측벽 부분들 상에 등각으로 성막된 리세스된 일함수 금속층(recessed work function metal layer) - 상기 리세스된 일함수 금속층의 상부는 상기 측벽들의 상부보다는 실질적으로 낮음 -;
    상기 리세스된 일함수 금속층 상에 등각으로 성막된 제2 일함수 금속층 - 상기 제2 일함수 금속층의 부분들은 상기 하이-k 게이트 유전층의 양 측벽 부분들과 직접 접촉함 -; 및
    상기 제2 일함수 금속층 상에 성막된 전극 금속층
    을 포함하는 장치.
  2. 제1항에 있어서,
    상기 기판은 반도체 재료를 포함하고 상기 스페이서들은 실리콘 질화물을 포함하는 장치.
  3. 제1항에 있어서,
    상기 하이-k 게이트 유전층은 하프늄 산화물, 하프늄 실리콘 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈륨 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸디움 탄탈륨 산화물 또는 납 아연 니오베이트(niobate)를 포함하는 장치.
  4. 제1항에 있어서,
    상기 리세스된 일함수 금속층은 상기 한쌍의 스페이서들에 비해 리세스된 장치.
  5. 제1항에 있어서,
    상기 리세스된 일함수 금속층은 유(U)자 모양인 장치.
  6. 제1항에 있어서,
    상기 리세스된 일함수 금속층은 루테늄, 팔라듐, 백금, 코발트, 니켈, 루테늄 산화물 또는 다른 도전성 금속 산화물을 포함하는 p-형 일함수 금속인 장치.
  7. 제1항에 있어서,
    상기 제2 일함수 금속층은 루테늄, 팔라듐, 백금, 코발트, 니켈, 루테늄 산화물 또는 다른 도전성 금속 산화물을 포함하는 p-형 일함수 금속인 장치.
  8. 제1항에 있어서,
    상기 전극 금속은 텅스텐, 알루미늄, 구리 또는 저저항성 금속을 포함하는 장치.
  9. 제1항에 있어서,
    상기 스페이서들 중 하나에 인접한 소스 영역;
    상기 스페이서들 중 다른 하나에 인접한 드레인 영역; 및
    상기 하이-k 게이트 유전층의 아래에 있는 채널 영역
    을 더 포함하는 장치.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020087027484A 2006-05-09 2007-05-01 Cmos 트랜지스터 게이트들에서의 리세스된 일함수 금속 KR101332104B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/431,388 2006-05-09
US11/431,388 US8193641B2 (en) 2006-05-09 2006-05-09 Recessed workfunction metal in CMOS transistor gates
PCT/US2007/010482 WO2007133440A2 (en) 2006-05-09 2007-05-01 Recessed workfunction metal in cmos transistor gates

Publications (2)

Publication Number Publication Date
KR20080110891A KR20080110891A (ko) 2008-12-19
KR101332104B1 true KR101332104B1 (ko) 2013-11-21

Family

ID=38684362

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087027484A KR101332104B1 (ko) 2006-05-09 2007-05-01 Cmos 트랜지스터 게이트들에서의 리세스된 일함수 금속

Country Status (8)

Country Link
US (2) US8193641B2 (ko)
JP (1) JP5062913B2 (ko)
KR (1) KR101332104B1 (ko)
CN (1) CN101438389A (ko)
DE (1) DE112007001134B4 (ko)
GB (1) GB2450836B (ko)
TW (1) TWI354374B (ko)
WO (1) WO2007133440A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10115797B2 (en) 2015-04-17 2018-10-30 Samsung Electronics Co., Ltd. Finfet semiconductor device and method of manufacturing the same

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7859112B2 (en) * 2006-01-13 2010-12-28 Micron Technology, Inc. Additional metal routing in semiconductor devices
US7425497B2 (en) 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US8193641B2 (en) 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US7655550B2 (en) * 2006-06-30 2010-02-02 Freescale Semiconductor, Inc. Method of making metal gate transistors
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8063434B1 (en) 2007-05-25 2011-11-22 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
ES2834614T3 (es) 2008-03-13 2021-06-18 Bluescope Steel Ltd Banda de metal revestida con acero y su procedimiento de fabricación
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
US7939392B2 (en) * 2008-10-06 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
US8440547B2 (en) 2009-02-09 2013-05-14 International Business Machines Corporation Method and structure for PMOS devices with high K metal gate integration and SiGe channel engineering
MY185522A (en) 2009-03-13 2021-05-19 Bluescope Steel Ltd Corrosion protection with al/zn-based coatings
US8193081B2 (en) * 2009-10-20 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for metal gate formation with wider metal gate fill margin
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8779530B2 (en) * 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
DE102010002411B4 (de) * 2010-02-26 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
CN102237399B (zh) * 2010-04-22 2015-01-07 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
CN102386217B (zh) * 2010-09-01 2014-02-05 中芯国际集成电路制造(上海)有限公司 栅极堆叠结构及其制作方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
TWI428274B (zh) * 2010-11-30 2014-03-01 Nat Univ Tsing Hua Process of nanotubes with internal connections
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR101802220B1 (ko) * 2010-12-20 2017-11-29 삼성전자주식회사 수직형 채널 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US8633534B2 (en) * 2010-12-22 2014-01-21 Intel Corporation Transistor channel mobility using alternate gate dielectric materials
US8574990B2 (en) * 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
GB2489682B (en) * 2011-03-30 2015-11-04 Pragmatic Printing Ltd Electronic device and its method of manufacture
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
CN102800592B (zh) * 2011-05-25 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
CN102856255B (zh) * 2011-06-27 2016-05-25 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US8642424B2 (en) 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US8629007B2 (en) 2011-07-14 2014-01-14 International Business Machines Corporation Method of improving replacement metal gate fill
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8569135B2 (en) * 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
CN102891111B (zh) * 2011-07-22 2015-01-21 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8546885B2 (en) 2011-07-25 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
US8477006B2 (en) * 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US8753968B2 (en) * 2011-10-24 2014-06-17 United Microelectronics Corp. Metal gate process
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8669618B2 (en) 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US20130288465A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Methods for filling high aspect ratio features on substrates
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
US8673731B2 (en) 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US9214349B2 (en) * 2012-10-12 2015-12-15 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US20140246734A1 (en) * 2013-03-01 2014-09-04 Globalfoundries Inc. Replacement metal gate with mulitiple titanium nitride laters
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
KR20140145419A (ko) * 2013-06-13 2014-12-23 삼성전자주식회사 반도체 소자 제조 방법
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
KR101996244B1 (ko) 2013-06-27 2019-07-05 삼성전자 주식회사 반도체 소자 제조 방법
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
CN105097469B (zh) * 2014-05-22 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
KR102162733B1 (ko) * 2014-05-29 2020-10-07 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
US9281372B2 (en) * 2014-07-17 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
EP3186829A4 (en) * 2014-08-29 2018-06-06 Intel Corporation Technique for filling high aspect ratio, narrow structures with multiple metal layers and associated configurations
KR102312262B1 (ko) 2014-09-02 2021-10-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105470200B (zh) * 2014-09-09 2020-04-21 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US9230864B1 (en) 2014-10-16 2016-01-05 United Microelectronics Corp. Method of forming a semiconductor device having a metal gate
US9379221B1 (en) * 2015-01-08 2016-06-28 International Business Machines Corporation Bottom-up metal gate formation on replacement metal gate finFET devices
US9443949B1 (en) * 2015-03-27 2016-09-13 International Business Machines Corporation Techniques for multiple gate workfunctions for a nanowire CMOS technology
CN106158749B (zh) * 2015-04-17 2020-08-21 格罗方德半导体公司 半导体装置的替代金属栅极中的功函数金属的选择性生长
US9679813B2 (en) 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10164059B2 (en) * 2015-09-04 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and fabricating method thereof
KR102455869B1 (ko) * 2015-12-23 2022-10-20 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법, 그를 구비한 메모리셀
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
US10043886B2 (en) * 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10269917B2 (en) * 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
CN108269847A (zh) * 2016-12-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10217839B2 (en) 2017-03-24 2019-02-26 Globalfoundries Inc. Field effect transistor (FET) with a gate having a recessed work function metal layer and method of forming the FET
US10056303B1 (en) * 2017-04-21 2018-08-21 Globalfoundries Inc. Integration scheme for gate height control and void free RMG fill
US10043910B1 (en) * 2017-04-26 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
KR102392845B1 (ko) 2017-11-28 2022-04-29 삼성전자주식회사 반도체 장치
KR102495258B1 (ko) * 2018-04-24 2023-02-03 삼성전자주식회사 반도체 장치
US10636890B2 (en) * 2018-05-08 2020-04-28 Globalfoundries Inc. Chamfered replacement gate structures
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
CN110752180B (zh) * 2019-10-25 2022-03-08 中国科学院微电子研究所 一种基板及其制备方法
US11728401B2 (en) 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
CN115863408A (zh) * 2021-08-20 2023-03-28 长鑫存储技术有限公司 晶体管及其制备方法
CN117334633A (zh) * 2022-06-23 2024-01-02 长鑫存储技术有限公司 半导体结构的处理方法以及字线结构的形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784472B2 (en) * 2000-03-24 2004-08-31 Fujitsu Limited Semiconductor device and method for fabricating the same
US20050233527A1 (en) * 2004-04-20 2005-10-20 Brask Justin K Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
JP3906020B2 (ja) * 2000-09-27 2007-04-18 株式会社東芝 半導体装置及びその製造方法
JP2002198521A (ja) * 2000-12-25 2002-07-12 Nec Corp 半導体装置の製造方法
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7157378B2 (en) * 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
JP4967313B2 (ja) * 2005-11-09 2012-07-04 ソニー株式会社 半導体装置の製造方法
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784472B2 (en) * 2000-03-24 2004-08-31 Fujitsu Limited Semiconductor device and method for fabricating the same
US20050233527A1 (en) * 2004-04-20 2005-10-20 Brask Justin K Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10115797B2 (en) 2015-04-17 2018-10-30 Samsung Electronics Co., Ltd. Finfet semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
DE112007001134B4 (de) 2014-12-11
WO2007133440A2 (en) 2007-11-22
DE112007001134T5 (de) 2009-04-09
KR20080110891A (ko) 2008-12-19
GB2450836A (en) 2009-01-07
US20070262451A1 (en) 2007-11-15
CN101438389A (zh) 2009-05-20
JP2009534847A (ja) 2009-09-24
GB0819771D0 (en) 2008-12-03
US20120264285A1 (en) 2012-10-18
TWI354374B (en) 2011-12-11
WO2007133440A3 (en) 2008-01-10
US8377771B2 (en) 2013-02-19
GB2450836B (en) 2011-09-21
US8193641B2 (en) 2012-06-05
TW200812079A (en) 2008-03-01
JP5062913B2 (ja) 2012-10-31

Similar Documents

Publication Publication Date Title
KR101332104B1 (ko) Cmos 트랜지스터 게이트들에서의 리세스된 일함수 금속
US20220254680A1 (en) Etch Stop Layer for Semiconductor Devices
US20240030067A1 (en) Self-aligned contacts
TWI701830B (zh) 半導體裝置及其形成方法
US10714576B2 (en) Semiconductor device and method for manufacturing the same
US7939392B2 (en) Method for gate height control in a gate last process
US7981801B2 (en) Chemical mechanical polishing (CMP) method for gate last process
TWI462187B (zh) 半導體元件及其製造方法
KR101785803B1 (ko) 반도체 디바이스 구조체의 형성 방법
US8420473B2 (en) Replacement gate devices with barrier metal for simultaneous processing
US8969922B2 (en) Field effect transistors and method of forming the same
US20100087055A1 (en) Method for gate height control in a gate last process
US10262894B2 (en) FinFET device and method for forming the same
US8679923B2 (en) Method for forming metal gate
CN103165466A (zh) 包括多晶硅电阻器和金属栅极电阻器的半导体器件及其制造方法
CN109994537B (zh) 半导体元件及其制作方法
US6184114B1 (en) MOS transistor formation
US9941152B2 (en) Mechanism for forming metal gate structure
US20200058558A1 (en) Forming Metal Gates with Multiple Threshold Voltages
US20240021475A1 (en) Semiconductor structure and methods for manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20111227

Effective date: 20130325

S901 Examination by remand of revocation
E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 5