KR101050641B1 - 기판 처리 장치 및 샤워 헤드 - Google Patents

기판 처리 장치 및 샤워 헤드 Download PDF

Info

Publication number
KR101050641B1
KR101050641B1 KR1020080060912A KR20080060912A KR101050641B1 KR 101050641 B1 KR101050641 B1 KR 101050641B1 KR 1020080060912 A KR1020080060912 A KR 1020080060912A KR 20080060912 A KR20080060912 A KR 20080060912A KR 101050641 B1 KR101050641 B1 KR 101050641B1
Authority
KR
South Korea
Prior art keywords
gas
shower head
processing
lower plate
plate
Prior art date
Application number
KR1020080060912A
Other languages
English (en)
Other versions
KR20080114612A (ko
Inventor
하치시로 이이즈카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080114612A publication Critical patent/KR20080114612A/ko
Application granted granted Critical
Publication of KR101050641B1 publication Critical patent/KR101050641B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Abstract

본 발명은 가스 토출 부분이 금속과 세라믹스의 2층 구조의 샤워 플레이트를 갖는 샤워 헤드를 이용하여, 균일한 처리를 행하는 것이 가능한 기판 처리 장치를 제공하는 것이다. 샤워 헤드(18)는, 가스 도입 구멍(61a)이 형성된 금속제의 상부 플레이트(61)와, 복수의 가스 통과 구멍(66)이 형성된 금속제의 하부 플레이트(62)와, 상부 플레이트(61)와 하부 플레이트(62) 사이에 마련된 가스 확산 공간 S와, 하부 플레이트(62)의 아래쪽 전면을 덮도록 마련되고, 가스 통과 구멍(66)에 대응하여 복수의 가스 토출 구멍(67)이 형성된 세라믹스제의 커버 부재(64)와, 가스 확산 공간 S 내에 상부 플레이트(61)와 하부 플레이트(62) 사이를 접속하도록 마련되고, 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재(70a, 70b)를 갖는다.

Description

기판 처리 장치 및 샤워 헤드{SUBSTRATE PROCESSING APPARATUS AND SHOWER HEAD}
본 발명은 반도체 웨이퍼 등의 기판에 플라즈마 에칭 등의 처리를 실시하는 기판 처리 장치 및 그것에 이용되는 샤워 헤드에 관한 것이다.
예컨대, 반도체 디바이스의 제조 프로세스에 있어서는, 피처리 기판인 반도체 웨이퍼에 형성된 소정의 층에 소정의 패턴을 형성하기 위해서, 레지스트를 마스크로 하여 플라즈마에 의해 에칭하는 플라즈마 에칭 처리가 다용되고 있다.
이러한 플라즈마 에칭을 행하기 위한 플라즈마 에칭 장치로서는, 여러 가지의 것이 이용되고 있지만, 그 중에서도 용량 결합형 평행 평판 플라즈마 처리 장치가 주류이다.
용량 결합형 평행 평판 플라즈마 에칭 장치는, 챔버 내에 1쌍의 평행 평판 전극(상부 및 하부 전극)을 배치하여, 처리 가스를 챔버 내에 도입하고, 또한, 전극의 한쪽 또는 양쪽에 고주파를 인가하여 전극간에 고주파 전계를 형성하며, 이 고주파 전계에 의해 처리 가스의 플라즈마를 형성하여 반도체 웨이퍼의 소정의 층 에 대하여 플라즈마 에칭을 실시한다. 구체적으로는, 반도체 웨이퍼를 탑재하는 서셉터를 하부 전극으로서 기능시키고, 반도체 웨이퍼의 위쪽으로부터 처리 가스를 샤워 형상으로 공급하는 샤워 헤드를 상부 전극으로서 기능시켜서, 이것들 사이에 고주파 전계를 형성함으로써 처리 가스의 플라즈마를 형성한다(예컨대, 특허 문헌 1).
한편, 이러한 용량 결합형 평행 평판 플라즈마 에칭 장치에 있어서는, 금속 오염을 방지하고, 플라즈마나 손상 등으로부터 샤워 헤드를 보호하기 위해서, 샤워 헤드의 샤워 플레이트로서 금속판의 하면에 석영판 등의 절연성의 세라믹스판을 접착한 것이나, 세라믹스를 코팅한 것이 이용되고 있다.
이러한 플라즈마 에칭 장치의 샤워 헤드는, 가열된 탑재대로부터의 복사열 또는 플라즈마로부터의 입열(入熱)을 받아서 가열된다. 이 때, 샤워 헤드의 내부에는 처리 가스를 혼합 또는 확산하기 위한 공간이 마련되어 있기 때문에, 이 공간이 단열부로서 작용하여, 샤워 헤드가 받은 열은 공간이 존재하지 않는 주연부에민 열전도되어, 열이 충분히 확산하지 않아, 샤워 헤드의 온도는 높아지는 경향이 있다.
이와 같이 샤워 헤드의 온도가 상승하면 , 샤워 플레이트가 금속과 세라믹스로 이루어지는 것이기 때문에, 이것들의 열팽창차에 의해서 샤워 플레이트에 다수 형성된 가스 토출 구멍이 어긋나 버리고, 특히 샤워 헤드의 주연부에서는 그 어긋남이 커서, 가스가 토출되지 않는 일도 발생하여, 에칭의 균일성 등이 악화해 버린다.
이러한 문제는 플라즈마 에칭 장치에 한정되지 않고, 금속과 세라믹스의 2층 구조의 샤워 플레이트를 갖는 샤워 헤드를 이용한 기판 처리 장치에 있어서 발생하는 것이다.
[특허 문헌 1] 일본 특허 공개 제2000-173993호 공보
본 발명은 이러한 사정을 감안하여 이루어진 것으로서, 가스 토출 부분이 금속과 세라믹스의 2층 구조의 샤워 플레이트를 갖는 샤워 헤드를 이용하여, 균일한 처리를 행하는 것이 가능한 기판 처리 장치를 제공하는 것, 및 이러한 기판 처리 장치에 이용되는 샤워 헤드를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기 내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대와 대향하는 위치에 마련되고, 상기 처리 용기 내로 처리 가스를 토출하는 샤워 헤드와, 상기 처리 용기 내를 배기하는 배기 기구와, 상기 처리 용기 내에서 피처리 기판에 소정의 처리를 실시하는 처리 기구를 구비하되, 상기 샤워 헤드는, 가스 도입부가 형성된 금속제의 상부 플레이트와, 복수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와, 상기 상부 플레이트와 상기 하부 플레이트 사이에 마련된 가스 확산 공간과, 상기 하부 플레이트의 아래쪽 전면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 복수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와, 상기 가스 확산 공간 내에 상기 상부 플레이트와 상기 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 기구에 의한 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 갖는 것을 특징으로 하는 기판 처리 장치를 제공한다.
또한, 본 발명의 제 2 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기 내에 배치되고, 피처리 기판이 탑재되는 탑재대와, 상기 탑재대와 대향하는 위치에 마련되고, 상기 처리 용기 내로 처리 가스를 토출하는 샤워 헤드와, 상기 처리 용기 내를 배기하는 배기 기구와, 상기 처리 용기 내에서 피처리 기판에 소정의 처리를 실시하는 처리 기구를 구비하되, 상기 샤워 헤드는, 가스 도입부가 형성된 금속제의 상부 플레이트와, 복수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와, 상기 상부 플레이트와 상기 하부 플레이트 사이에 마련되고, 복수의 가스 통과 구멍을 갖는 중간 플레이트와, 상기 상부 플레이트와 상기 중간 플레이트 사이에 마련된 제 1 가스 확산 공간과, 상기 중간 플레이트와 상기 하부 플레이트 사이에 마련된 제 2 가스 확산 공간과, 상기 하부 플레이트의 아래쪽 전면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 다수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와, 상기 제 1 가스 확산 공간 내 및 상기 제 2 가스 확산 공간 내에, 각각 상기 상부 플레이트와 상기 중간 플레이트 사이 및 상기 중간 플레이트와 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 기구에 의한 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 갖는 것을 특징으로 하는 기판 처리 장치를 제공한다.
본 발명의 제 3 관점에서는, 처리 용기 내의 피처리 기판이 탑재되는 탑재대의 위쪽의 대향하는 위치에 마련되고, 상기 처리 용기 내로 처리 가스를 토출하는 샤워 헤드로서, 가스 도입부가 형성된 금속제의 상부 플레이트와, 다수의 가스 통 과 구멍이 형성된 금속제의 하부 플레이트와, 상기 상부 플레이트와 상기 하부 플레이트 사이에 마련된 가스 확산 공간과, 상기 하부 플레이트의 아래쪽 전면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 복수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와, 상기 가스 확산 공간 내에 상기 상부 플레이트와 상기 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 용기 내에서의 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 구비하는 것을 특징으로 하는 샤워 헤드를 제공한다.
본 발명의 제 4 관점에서는, 처리 용기 내의 피처리 기판이 탑재되는 탑재대의 위쪽의 대향하는 위치에 마련되고, 상기 처리 용기 내에서 소정의 처리를 행할 때에 처리 가스를 토출하는 샤워 헤드로서, 가스 도입부가 형성된 금속제의 상부 플레이트와, 다수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와, 상기 상부 플레이트와 상기 하부 플레이트 사이에 마련되고, 복수의 가스 통과 구멍을 갖는 중간 플레이트와, 상기 상부 플레이트와 상기 중간 플레이트 사이에 마련된 제 1 가스 확산 공간과, 상기 중간 플레이트와 상기 하부 플레이트 사이에 마련된 제 2 가스 확산 공간과, 상기 하부 플레이트의 아래쪽 전면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 다수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와, 상기 제 1 가스 확산 공간 내 및 상기 제 2 가스 확산 공간 내에, 각각 상기 상부 플레이트와 상기 중간 플레이트 사이 및 상기 중간 플레이트와 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 용기 내에서의 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 구비하는 것을 특징으로 하 는 샤워 헤드를 제공한다.
상기 제 1~제 4 관점에 있어서, 상기 하부 플레이트와 상기 커버 부재 사이는 요철 형상으로 되어 있는 것이 바람직하다. 또한, 상기 열전도 부재는 원주 형상을 한 것이 좋고, 그 직경은 2~12mm의 범위로 하는 것이 바람직하다. 또한, 상기 샤워 헤드에, 상기 열전도 부재를 거쳐서 열전도된 열을 강제적으로 내보내는 냉각 수단이 구비되어 있어도 좋다.
상기 제 1 및 제 2 관점에 있어서, 상기 처리 기구는 상기 처리 용기 내에 플라즈마를 형성하여 피처리 기판에 플라즈마 처리를 실시하는 것이 좋고, 상기 탑재대와 상기 샤워 헤드 사이에 고주파 전계를 형성하고, 그 고주파 전계에 의해 플라즈마를 생성하는 것을 이용할 수 있다.
상기 제 2 및 제 4 관점에 있어서, 상기 제 1 가스 확산 공간 내에 마련된 열전도 부재와, 상기 제 2 가스 공간 내에 마련된 열전도 부재는 대응하는 위치에 마련되어 있는 것이 바람직하다.
상기 제 3 및 제 4 관점에 있어서, 상기 소정의 처리는 상기 처리 용기 내에 플라즈마를 형성하여 피처리 기판에 플라즈마 처리를 실시하는 것이 좋다.
본 발명에 의하면, 가스 도입부가 형성된 금속제의 상부 플레이트와, 복수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와, 상기 상부 플레이트와 상기 하부 플레이트 사이에 마련된 가스 확산 공간과, 상기 하부 플레이트의 아래쪽 전 면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 복수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재를 갖는 샤워 헤드에 있어서, 상기 가스 확산 공간 내에 상기 상부 플레이트와 상기 하부 플레이트 사이를 접속하도록, 상기 처리 용기 내에서의 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 마련했기 때문에, 하부 플레이트 및 커버 부재가 받은 열을 열전도 부재를 거쳐서 조속히 방출할 수 있다. 이 때문에, 하부 플레이트 및 커버 부재의 온도가 상승하는 것이나, 이것들에 온도 구배가 형성되는 것을 억제할 수 있어, 하부 플레이트의 가스 통과 구멍과 커버 부재의 가스 토출 구멍의 열팽창차에 의한 위치 어긋남을 저감할 수 있다.
이하, 첨부 도면을 참조하여 본 발명의 실시형태에 대해서 설명한다.
도 1은 본 발명의 일실시형태에 따른 플라즈마 에칭 장치를 나타내는 단면도이다.
이 플라즈마 에칭 장치(100)는 기밀하게 구성되고, 대략 원통 형상을 한 챔버(1)를 갖고 있다. 이 챔버(1)는 본체가 예를 들어 알루미늄 등의 금속으로 이루어지고, 그 내벽 표면에 산화 처리된 피막이나, Y2O3 등의 절연 세라믹스로 이루어지는 피막(예를 들면, 용사 피막)과 같은 절연막이 형성되어 있다. 챔버(1)는 직류적으로 접지되어 있다.
이 챔버(1) 내에는, 피처리 기판인 웨이퍼 W를 수평으로 지지하고 또한 하부 전극으로서 기능하는 지지 테이블(2)이 마련되어 있다. 지지 테이블(2)은 예컨대 표면이 산화 처리된 알루미늄으로 구성되어 있다. 챔버(1)의 저벽(底壁)으로부터는 지지 테이블(2)의 외주에 대응하도록 링 형상의 지지부(3)가 돌출하여 형성되어 있고, 이 지지부(3) 위에는 링 형상의 절연 부재(4)가 마련되어 있으며, 지지 테이블(2)은 그 외연부가 이 절연 부재(4)를 거쳐서 지지되어 있다. 지지 테이블(2)의 위쪽 외주에는 도전성 재료 예를 들어 Si, SiC 등으로 형성된 포커스 링(5)이 마련되어 있다. 절연 부재(4)의 하단과 챔버(1) 주벽(周壁) 사이에는 코니칼 형상의 배기 링(14)이 마련되어 있다. 배기 링(14)은 처리 가스를 통과시켜서 배기 라인(19)으로 유도하고, 또한, 플라즈마 생성 영역을 규정하는 역할을 갖고 있다. 또한, 지지 테이블(2)과 챔버(1)의 저벽 사이에는 공동부(7)가 형성되어 있다.
지지 테이블(2)의 표면 부분에는 웨이퍼 W를 정전 흡착하기 위한 정전 척(6)이 마련되어 있다. 이 정전 척(6)은 절연체(6b)의 사이에 전극(6a)이 개재되어 구성되어 있고, 전극(6a)에는 스위치(13a)를 사이에 두고 직류 전원(13)이 접속되어 있다. 그리고, 전극(6a)에 직류 전원(13)으로부터 전압이 인가됨으로써, 정전력, 예컨대 쿨롱력에 의해서 반도체 웨이퍼 W가 흡착된다.
지지 테이블(2) 내에는 냉매 유로(8a)가 마련되고, 이 냉매 유로(8a)에는 냉매 배관(8b)이 접속되어 있으며, 냉매 제어 장치(8)에 의해, 적절한 냉매가 이 냉매 배관(8b)을 거쳐서 냉매 유로(8a)에 공급되어, 순환되도록 되어 있다. 이에 따라, 지지 테이블(2)이 적절한 온도로 제어 가능해진다. 또한, 정전척(6)의 표면과 웨이퍼 W의 이면 사이에 열 전달용의 열전도 가스, 예컨대 He 가스를 공급하기 위한 열전도 가스 배관(9a)이 마련되고, 열전도 가스 공급 장치(9)로부터 이 열전도 가스 배관(9a)을 거쳐서 웨이퍼 W 이면에 열전도 가스가 공급되도록 되어 있다. 이에 따라, 챔버(1) 내가 배기되어 진공으로 유지되고 있더라도, 냉매 유로(8a)에 순환되는 냉매의 냉열을 웨이퍼 W에 효율적으로 전달시킬 수 있어, 웨이퍼 W의 온도 제어성을 높일 수 있다.
지지 테이블(2)의 중앙부에는, 고주파 전력을 공급하기 위한 급전선(12a, 12b)이 접속되어 있으며, 급전선(12a)에는 정합기(11a) 및 고주파 전원(10a)이 접속되어 있고, 급전선(12b)에는 정합기(11b) 및 고주파 전원(10b)이 접속되어 있다. 고주파 전원(10a)으로부터는 플라즈마 생성용의 고주파 전력이 공급되고, 고주파 전원(10b)으로부터는 플라즈마 내의 이온을 인입하기 위한 고주파 전력이 공급된다.
한편, 지지 테이블(2)에 대향하여 에칭을 위한 처리 가스를 샤워 형상으로 토출하기 위한 샤워 헤드(18)가 마련되어 있다. 이 샤워 헤드(18)는 상부 전극으로서 기능하여, 챔버(1)의 천장벽 부분에 감입(嵌入)되어 있다. 또한, 샤워 헤드(18)의 구조는 뒤에서 상세하게 설명한다.
상부 전극인 샤워 헤드(18)는 챔버(1)를 거쳐서 접지되어 있고, 고주파 전력이 공급되어 하부 전극으로서 기능하는 지지 테이블(2)과 함께 1쌍의 평행 평판 전극을 구성하고 있다. 그리고, 고주파 전력이 공급되는 하부 전극으로서의 지지 테이블(2)이 캐소드 전극으로서 기능하고, 접지된 상부 전극인 샤워 헤드(18)가 애노 드 전극으로서 기능한다. 이들 캐소드 전극으로서의 지지 테이블(2)과 애노드 전극으로서의 상부 전극(18) 사이 및 절연 부재(4)의 바깥쪽 부분의 배기 링(14)까지의 영역이 플라즈마 생성 영역 R로 된다.
에칭을 위한 처리 가스로서는, 종래 이용되고 있는 여러 가지의 것을 채용할 수 있으며, 예를 들면 플루오로카본 가스(CxFy)나 하이드로플루오로카본 가스(CpHqFr)와 같은 할로겐 원소를 함유하는 가스를 적합하게 이용할 수 있다. 그 외에, Ar, He 등의 희가스나 N2 가스, O2 가스 등을 첨가해도 좋다. 또한, 애싱에 적용하는 경우에는, 처리 가스로서 예를 들어 O2 가스 등을 이용할 수 있다.
이러한 처리 가스가, 처리 가스 공급 장치(15)로부터 가스 공급 배관(15a), 및 챔버(1)의 천장벽(1a)에 마련된 가스 도입 구멍(1b)을 거쳐서 샤워 헤드(18)에 도달한 후, 샤워 헤드(18)로부터 샤워 형상으로 토출되어, 웨이퍼 W에 형성된 막의 에칭에 제공된다.
챔버(1)의 저벽에는 배기관(19)이 접속되어 있고, 이 배기관(19)에는 진공 펌프(기압 양수기) 등을 포함하는 배기 장치(20)가 접속되어 있다. 그리고, 배기 장치(20)의 진공 펌프를 작동시킴으로써 챔버(1) 내를 소정의 진공도까지 감압할 수 있도록 되어 있다. 한편, 챔버(1)의 측벽 위쪽에는, 웨이퍼 W의 반입출구(23)를 개폐하는 게이트 밸브(24)가 마련되어 있다.
한편, 챔버(1)의 반입출구(23)의 상하에 챔버(1)의 둘레를 돌도록, 동심 형상으로 2개의 링 자석(21a, 21b)이 배치되어 있어, 지지 테이블(2)과 샤워 헤 드(18) 사이의 처리 공간의 주위에 자계를 형성하도록 되어 있다. 이 링 자석(21a, 21b)은 도시하지 않은 회전 기구에 의해 회전 가능하게 마련되어 있다.
링 자석(21a, 21b)은 영구 자석으로 이루어지는 복수의 세그먼트 자석이 링 형상으로 멀티폴 상태로 배치되어 있다. 따라서, 자력선이 인접하는 세그먼트 자석 사이에 형성되고, 처리 공간의 주변부에만 자장이 형성되어, 웨이퍼 배치 부분은 실질적으로 무(無)자장 상태로 된다. 이에 따라, 알맞은 플라즈마 이동 제한 효과를 얻을 수 있다.
플라즈마 에칭 장치(100)의 각 구성부는 제어부(프로세스 콘트롤러)(50)에 접속되어 제어되는 구성으로 되어 있다. 구체적으로는, 냉매 제어 장치(8), 열전도 가스 공급 장치(9), 배기 장치(20), 정전 척(6)을 위한 직류 전원(13)의 스위치(13a), 고주파 전원(10a, 10b), 정합기(11) 등이 제어된다.
또한, 제어부(50)에는, 오퍼레이터가 플라즈마 에칭 장치(100)를 관리하기 위해서 커맨드의 입력 조작 등을 행하는 키보드나, 플라즈마 에칭 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(51)가 접속되어 있다.
또한, 제어부(50)에는, 플라즈마 에칭 장치(100)에서 실행되는 각종 처리를 제어부(50)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 에칭 장치의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 레시피가 저장된 기억부(52)가 접속되어 있다. 레시피는 하드디스크나 반도체 메모리에 기억되어 있어도 좋고, CD-ROM, DVD 등의 가반성(可搬性)의 기억 매체에 수용된 상태로 기억부(52)의 소정 위치에 세트하도록 되어 있어도 좋다.
그리고, 필요에 따라서, 사용자 인터페이스(51)로부터의 지시 등에 의해 임의의 레시피를 기억부(52)로부터 호출하여 제어부(50)에 실행시킴으로써, 제어부(50)의 제어하에서, 플라즈마 에칭 장치(100)에서의 소망하는 처리가 행해진다.
다음에, 샤워 헤드(18)에 대해서 상세하게 설명한다.
도 2는 샤워 헤드를 확대해서 나타내는 단면도이다. 이 도면에 도시하는 바와 같이, 샤워 헤드(18)는 최상부에 위치하는 금속제(알루미늄, 스테인리스강 등)의 상부 플레이트(61)와, 이 상부 플레이트(61)의 아래에 마련된 금속제(알루미늄, 스테인리스강 등)의 하부 플레이트(62)를 갖고, 이것들은 나사 고정되어 있다. 그리고, 이들 상부 플레이트(61)와 하부 플레이트(62) 사이에는 가스 확산 공간 S가 형성되어 있다. 또한, 상부 플레이트(61)와 하부 플레이트(62) 사이에는, 확산 공간 S를 상부의 제 1 확산 공간 S1과 하부의 제 2 확산 공간 S2로 이분하도록 금속제(알루미늄, 스테인리스강 등)의 중간 플레이트(63)가 마련되어 있다. 이 중간 플레이트(63)는 가스 확산판으로서 기능한다. 또한, 하부 플레이트(62)의 아래쪽에는, 금속제의 하부 플레이트(62) 등을 플라즈마나 손상으로부터 보호하고, 또한 금속 오염을 억제하는 관점에서, 석영이나 Y2O3 등의 절연성 세라믹스로 이루어지는 커버 부재(64)가 전면을 덮도록 부착되어 있다. 하부 플레이트(62)에는 복수의 가스 통과 구멍(66)이 형성되어 있고, 커버 부재(64)에는, 이 가스 통과 구멍(66)에 대응하는 위치에 가스 토출 구멍(67)이 형성되어 있다. 또한, 중간 플레이트(63) 에는 복수의 가스 통과 구멍(68)이 형성되어 있다.
하부 플레이트(62)와 중간 플레이트(63) 사이의 제 2 확산 공간 S2, 및 중간 플레이트(63)와 상부 플레이트(61) 사이의 제 1 확산 공간 S1에는, 각각 플라즈마 등으로부터 받은 열을 위쪽으로 내보내기 위한, 원주 형상을 하는 복수의 열전도 부재(70a, 70b)가 마련되어 있다. 열전도 부재(70a)와 열전도 부재(70b)는 서로 대응하는 위치에 마련되어 있고, 플라즈마로부터의 열이 하부 플레이트(62), 열전도 부재(70a), 열전도 부재(70b)를 지나서 상부 플레이트(61)에 도달하여, 챔버(1)의 상벽을 통해서 외부로 방열하도록 되어 있다. 즉, 서로 대응하는 열전도 부재(70a 및 70b)의 일체로 연결되어서 하부 플레이트(62)와 상부 플레이트(61)를 접속하는 열전도 부재로서 기능한다.
도 3의 한층 더 확대 도면에도 도시하는 바와 같이, 커버 부재(64)의 상면에는 복수의 볼록부(72)가 형성되어 있고, 또한, 하부 플레이트(62)의 하면에는 볼록부(72)에 대응하는 위치에 오목부(73)가 형성되어 있으며, 이것들이 감합하도록 되어 있다. 이들 볼록부(72) 및 오목부(73)는 가스 통과 구멍(66)과 가스 토출 구멍(67)이 형성되어 있는 위치에 마련되어 있다. 이와 같이 요철을 마련함으로써, 도 4에 도시하는 바와 같이, 가스 누설 경로를 굴곡시켜서 그 컨덕턴스를 저하시켜, 가스의 누출을 저감할 수 있다. 또한, 주변의 가스 누설 경로로부터의 누출 가스의 혼입을 저감할 수 있는 효과도 얻어진다. 또한, 커버 부재(64)와 하부 플레이트(62) 사이에 불활성 가스를 흘림으로써, 가스의 누출을 저감하는 기능을 부가할 수도 있다.
커버 부재(64)에 마련된 가스 토출 구멍(67)은 하부에서 구멍 직경이 가늘어지는 2단 구멍 구조를 갖고 있어, 토출 컨덕턴스보다 확산 공간 S의 컨덕턴스가 커지도록 설계되어 있다. 이에 따라, 확산 공간 S에서 가스의 혼합ㆍ확산을 균일하게 실행할 수 있다.
도 5에 도시하는 바와 같이, 열전도 부재(70b(70a))와 중간 플레이트(63)의 가스 통과 구멍(68)과 하부 플레이트(62)에 형성된 가스 통과 구멍(66)은, 모두 매트릭스 형상으로 형성되어 있고, 가스 통과 구멍(68과 66)은 대응하지 않도록 배치되어 있다. 또한, 열전도 부재(70b(70a))는 가스 통과 구멍(68 및 66)과 겹치지 않는 위치에 배치되어 있다.
열전도 부재(70a, 70b)의 직경은, 예를 들면 5~20mm이고, 바람직하게는 5~12mm이다. 또한, 인접하는 열전도 부재(70a, 70b)의 간극은, 예를 들면 7~40mm이고, 바람직하게는 9~18mm이다. 또한, 제 2 공간 S2의 단면적에 대한 열전도 부재(70a)의 단면적의 비 및 제 1 공간 S1의 단면적에 대한 열전도 부재(70b)의 단면합의 비가 0.05~0.50으로 되도록, 열전도 부재(70a, 70b)가 배치되는 것이 바람직하다. 이 면적비가 0.05보다 작으면 열전도 부재(70a, 70b)의 열을 전달하는 효과가 작아져서 효과가 불충분하고, 반대로 0.50보다 크면 제 2 확산 공간 S2 및 제 1 확산 공간 S1의 유로 저항이 커져서 가스 흐름의 불균일이 발생하기 쉽다. 또한, 열전도 부재(70a, 70b)는 원주 형상에 한정되지 않고, 여러 가지의 단면 형상을 취할 수 있다.
상부 플레이트(61)의 중앙에는, 가스 도입 구멍(1b)에 대응하는 위치에, 가 스 도입 구멍(61a)이 마련되고, 처리 가스 공급 장치(15)로부터 가스 공급 배관(15a), 및 가스 도입 구멍(1b)을 지나서 흘러 온 처리 가스가, 이 가스 도입 구멍(61a)으로부터 샤워 헤드(18) 내로 도입된다. 그리고, 제 1 확산 공간 S1, 중간 플레이트(63)의 가스 통과 구멍(68), 제 2 확산 공간 S2, 가스 통과 구멍(66)을 지나서, 가스 토출 구멍(67)으로부터 플라즈마 생성 영역 R로 처리 가스가 토출되도록 되어 있다.
다음에, 이와 같이 구성되는 플라즈마 에칭 장치의 처리 동작에 대해서 설명한다.
먼저, 도 1의 플라즈마 에칭 장치(100)의 게이트 밸브(24)를 열어서 도시하지 않은 반송암으로 에칭 대상층을 갖는 웨이퍼 W를 챔버(1) 내에 반입하여, 지지 테이블(2) 상에 탑재한 후, 반송암을 퇴피시켜서 게이트 밸브(24)를 닫고, 배기 장치(20)의 진공 펌프(기압 양수기)에 의해 배기관(19)을 거쳐서 챔버(1) 내를 배기하여 소정의 진공도로 한다.
그 후, 챔버(1) 내에 처리 가스 공급 장치(15)로부터 에칭을 위한 처리 가스를 소정의 유량으로 공급하여, 샤워 헤드(18)를 거쳐서 챔버(1) 내에 도입하고, 챔버(1) 내를 소정의 압력, 예를 들어 0.13~133.3Pa(1~1000mTorr) 정도로 유지한다. 이와 같이 소정의 압력으로 유지한 상태에서 고주파 전원(10a)으로부터 지지 테이블(2)로, 주파수가 40MHz 이상, 예를 들어 100MHz의 플라즈마 생성용 고주파 전력을 공급한다. 또한, 고주파 전원(10b)으로부터 지지 테이블(2)로, 이온 인입용의 500kHz~27MHz, 예를 들어 13.56MHz의 고주파 전력을 공급한다. 한편, 직류 전 원(13)으로부터 정전 척(6)의 전극(6a)에 소정의 전압이 인가되고, 웨이퍼 W는 예컨대 쿨롱력에 의해 흡착된다.
이렇게 해서 하부 전극인 지지 테이블(2)에 고주파 전력이 인가됨으로써, 상부 전극인 샤워 헤드(18)와 하부 전극인 지지 테이블(2) 사이의 처리 공간에는 고주파 전계가 형성되고, 이에 따라 처리 공간에 공급된 처리 가스가 플라즈마화되어, 그 플라즈마에 의해 웨이퍼 W에 형성된 에칭 대상층이 에칭된다.
이 에칭시에, 멀티폴 상태의 링 자석(21a, 21b)에 의해 처리 공간의 주위에 자장을 형성함으로써, 적절한 플라즈마 이동제한 효과가 발휘되어, 플라즈마의 균일화를 보조할 수 있다. 또한, 막에 따라서는 이러한 자장의 효과가 없는 경우도 있지만, 그러한 경우에는 세그먼트 자석을 회전시켜서 처리 공간의 주위에 실질적으로 자장을 형성하지 않도록 하여 처리를 행하면 좋다. 이러한 경우에는, 지지 테이블(2) 상의 웨이퍼 W의 주위에 마련된 도전성의 포커스 링(5)에 의해 포커스 링 영역까지가 하부 전극으로서 기능하기 때문에, 플라즈마 형성 영역이 포커스 링(5) 상까지 넓어져, 웨이퍼 W의 주변부에서의 플라즈마 처리가 촉진되어 에칭 레이트의 균일성이 향상한다.
이렇게 해서 플라즈마 에칭 처리를 행하는 경우, 플라즈마로부터의 열 등에 의해, 샤워 헤드(18)는 하면부터 가열되어 온도가 상승한다. 이 경우에, 도 6(a)에 도시하는 바와 같이, 종래의 샤워 헤드(118)는 하부 플레이트(162) 및 세라믹스 재료로 이루어지는 커버 부재(164)에 플라즈마 등으로부터 인가된 열은, 내부 공간 S'에 의해 단열되어, 상부 플레이트(161)와 하부 플레이트(162)가 접하는 주연부에 서만 열전도에 의해 방열된다. 이 때문에, 하부 플레이트(162) 및 커버 부재(164)의 온도가 저하하기 어렵다. 또한, 하부 플레이트(162) 및 커버 부재(164)의 열은 중앙으로부터 수평 방향 주연 측으로 흐르기 때문에, 수평 방향으로 온도 구배가 형성된다.
한편, 하부 플레이트(162)는 알루미늄이나 스테인리스강과 같은 금속제로서 열팽창 계수가 크고, 커버 부재(164)는 석영이나 Y2O3 등의 절연성 세라믹스로 이루어지기 때문에, 금속보다 열팽창 계수가 작다. 이 때문에, 이것들이 인접한 상태에서 온도가 예를 들어 140℃ 정도까지 상승하고, 또한 이와 같이 수평 방향으로 온도 구배가 형성되면, 이것들 사이의 열팽창의 차이에 의해, 도 6(b)에 도시하는 바와 같이, 주연부 측에서 하부 플레이트(162)의 가스 통과 구멍(166)과 커버 부재(164)의 가스 토출 구멍(167)의 위치가 어긋나 버린다. 이 경우에, 가스 토출 구멍(167)은 플라즈마가 침입하여 이상 방전이 발생하거나, 금속 오염이 발생하는 것을 방지하는 것을 목적으로 하여 작은 직경으로 형성되어 있기 때문에, 도 6(c)에 도시하는 바와 같이, 주연부에서 가스 통과 구멍(166)과 가스 토출 구멍(167)이 완전히 어긋나서, 가스의 토출이 완전히 차단되는 일도 발생한다. 주연부에서의 처리 가스의 토출량은, 에칭의 선택성에 크게 영향을 주기 때문에, 이와 같이 주연부에서의 가스의 토출량이 줄어들면 에칭 특성이 저하한다.
그래서, 본 실시형태에서는, 샤워 헤드(18)의 가스 확산 공간 S에 열전도 부재(70a, 70b)를 마련하여, 도 7에 도시하는 바와 같이, 커버 부재(64) 및 하부 플 레이트(62)로부터 열전도 부재(70a, 70b)를 지나서 상부 플레이트(61)에 이르도록 위쪽으로 열전도하도록 하였다. 이에 따라, 플라즈마 등으로부터 커버 부재(64) 및 하부 플레이트(62)가 받은 열을 열전도 부재(70a, 70b)를 거쳐서 빠르고 또한 균일하게 상부 플레이트(61)에 열전도하여 외부로 방열할 수 있기 때문에, 온도 상승 자체가 억제되고, 또한 수평 방향의 온도 구배도 발생하기 어렵다. 이 때문에,금속제의 하부 플레이트(62) 및 세라믹스제의 커버 부재(64) 사이에 열팽창차가 발생하기 어렵고, 주연부에서의 가스 통과 구멍(66)과 가스 토출 구멍(67)의 어긋남도 작게 할 수 있어, 에칭 특성의 저하를 최소로 억제할 수 있다.
또한, 이와 같이 가스 확산 공간 S에 열전도 부재를 마련하더라도, 확산 공간 S에 대한 열전도 부재의 면적비가 상술한 바와 같이 0.05~0.5의 바람직한 범위이면, 수평 방향의 컨덕턴스에는 실질적으로 영향은 없어, 가스 토출량은 중앙부와 주연부에서 2% 정도의 차이밖에 나지 않아, 에칭 특성에는 영향은 없다.
또한, 커버 부재(64)의 상면에는 복수의 볼록부(72)가 형성되고, 하부 플레이트(62)의 하면에는 복수의 오목부(73)가 형성되며, 이들 볼록부(72) 및 볼록부(73)가 감합한 상태로 되기 때문에, 하부 플레이트(62)와 커버 부재(64) 사이에서 처리 가스가 누출되어 흐르는 가스 누설 경로가 굴곡되게 되어, 가스 누설 경로의 컨덕턴스가 저하하여 가스의 누출이 저감된다.
이상과 같이, 열전도 부재(70a, 70b)를 마련한 것에 의해, 하부 플레이트(62)와 커버 부재(64)가 플라즈마로부터 받은 열을 빠르고 또한 균일하게 위쪽으로 내보낼 수 있어, 가스 토출 구멍의 어긋남을 억제할 수 있는 효과를 나타낼 수 있으며, 그러한 효과는 상부 플레이트(61)에 핀이나 팬, 또는 냉매 공급 등의 강제 냉각 수단을 마련함으로써, 그 효과를 한층 크게 할 수 있다. 또한, 상부 플레이트(61) 상에 가열 수단이나 냉각 수단을 마련함으로써, 샤워 헤드(18)의 온도 조절을 실현하는 효과도 얻어진다.
또한, 본 발명은 상기 실시형태에 한정되지 않고, 여러 가지의 변형이 가능하다. 예컨대, 상기 실시형태에서는, 커버 부재를 판재(板材)로 하여 하부 플레이트의 전면을 덮도록 부착하였지만, 이에 한정되지 않고, 세라믹스로 이루어지는 막이더라도 괜찮다. 또한, 상기 실시형태에서는 중간 플레이트를 마련했지만, 중간 플레이트를 마련하지 않고서, 하부 플레이트와 상부 플레이트를 직접 접속하도록 열전도 부재를 마련하도록 해도 좋다. 또한, 상기 실시형태에서는, 용량 결합형 평행 평판 플라즈마 에칭 장치에 본 발명을 적용한 예에 대해서 나타냈지만, 이것에 한정되지 않고, 마이크로파 플라즈마 처리와 같은 다른 플라즈마원을 이용한 처리이어도 무방하고, 에칭에 한정되지 않고, 플라즈마 CVD 등의 다른 플라즈마 처리이어도 무방하다. 또한, 열 CVD 등의 플라즈마를 이용하지 않는 처리이더라도 괜찮다. 또한, 피처리 기판으로서 반도체 웨이퍼를 예시했지만, 이것에 한정되지 않고, 액정 표시 장치(LCD)에 대표되는 플랫 패널 디스플레이(FPD)용의 유리 기판 등, 다른 기판에도 적용 가능하다.
도 1은 본 발명의 일실시형태에 따른 플라즈마 에칭 장치를 나타내는 단면도.
도 2는 도 1의 플라즈마 에칭 장치에 이용되는 샤워 헤드를 확대해서 나타내는 단면도.
도 3은 도 1의 플라즈마 에칭 장치에 이용되는 샤워 헤드의 주요부를 확대해서 나타내는 단면도.
도 4는 도 2 및 도 3의 샤워 헤드의 하부 플레이트와 커버 부재 사이에 형성된 요철의 효과를 설명하기 위한 도면.
도 5는 샤워 헤드에서의 열전도 부재와 가스 통과 구멍과의 배치 관계를 나타내는 도면.
도 6의 (a)~(c)는 종래의 샤워 헤드에서의 열의 이동 상태, 및 하부 플레이트와 커버 부재와의 열팽창차에 의한 구멍 어긋남의 상태를 나타내는 도면.
도 7은 본 발명의 일실시형태에 따른 샤워 헤드의 열의 이동 상태를 설명하기 위한 도면.
도면의 주요 부분에 대한 부호의 설명
1: 챔버, 2: 테이블, 5: 포커스 링, 10a, 10b: 고주파 전원, 14: 배기 링, 15: 처리 가스 공급 장치, 18: 샤워 헤드, 20: 배기 장치, 21a, 21b: 링 자석, 61: 상부 플레이트, 62: 하부 플레이트, 63: 중간 플레이트, 64: 커버 부재, 66, 68: 가스 통과 구멍, 67: 가스 토출 구멍, 70a, 70b: 열전도 부재, 72: 볼록부, 73: 오목부, 100: 플라즈마 에칭 장치, W: 반도체 웨이퍼(피처리 기판)

Claims (17)

  1. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기 내에 배치되고, 피처리 기판이 탑재되는 탑재대와,
    상기 탑재대와 대향하는 위치에 마련되고, 상기 처리 용기 내로 처리 가스를 토출하는 샤워 헤드와,
    상기 처리 용기 내를 배기하는 배기 기구와,
    상기 처리 용기 내에서 피처리 기판에 사전 결정된 처리를 실시하는 처리 기구
    를 구비하고,
    상기 샤워 헤드는,
    가스 도입부가 형성된 금속제의 상부 플레이트와,
    복수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와,
    상기 상부 플레이트와 상기 하부 플레이트 사이에 마련된 가스 확산 공간과,
    상기 하부 플레이트의 아래쪽 면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 복수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와,
    상기 가스 확산 공간 내에 상기 상부 플레이트와 상기 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 기구에 의한 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 갖는 것을 특징으로 하는
    기판 처리 장치.
  2. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기 내에 배치되고, 피처리 기판이 탑재되는 탑재대와,
    상기 탑재대와 대향하는 위치에 마련되고, 상기 처리 용기 내로 처리 가스를 토출하는 샤워 헤드와,
    상기 처리 용기 내를 배기하는 배기 기구와,
    상기 처리 용기 내에서 피처리 기판에 사전 결정된 처리를 실시하는 처리 기구
    를 구비하고,
    상기 샤워 헤드는,
    가스 도입부가 형성된 금속제의 상부 플레이트와,
    복수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와,
    상기 상부 플레이트와 상기 하부 플레이트 사이에 마련되고, 복수의 가스 통과 구멍을 갖는 중간 플레이트와,
    상기 상부 플레이트와 상기 중간 플레이트 사이에 마련된 제 1 가스 확산 공간과,
    상기 중간 플레이트와 상기 하부 플레이트 사이에 마련된 제 2 가스 확산 공간과,
    상기 하부 플레이트의 아래쪽 면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 다수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와,
    상기 제 1 가스 확산 공간 내 및 상기 제 2 가스 확산 공간 내에, 각각 상기 상부 플레이트와 상기 중간 플레이트 사이 및 상기 중간 플레이트와 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 기구에 의한 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 갖는 것을 특징으로 하는
    기판 처리 장치.
  3. 제 2 항에 있어서,
    상기 제 1 가스 확산 공간 내에 마련된 열전도 부재와, 상기 제 2 가스 확산 공간 내에 마련된 열전도 부재는 대응하는 위치에 마련되어 있는 것을 특징으로 하는
    기판 처리 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 처리 기구는 상기 처리 용기 내에 플라즈마를 형성하여 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 처리 기구는 상기 탑재대와 상기 샤워 헤드 사이에 고주파 전계를 형성하고, 상기 고주파 전계에 의해 플라즈마를 생성하는 것을 특징으로 하는
    기판 처리 장치.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 하부 플레이트와 상기 커버 부재 사이는 요철 형상으로 되어 있는 것을 특징으로 하는
    기판 처리 장치.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 열전도 부재는 원주 형상을 하고 있는 것을 특징으로 하는
    기판 처리 장치.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 열전도 부재는 직경이 2~12mm인 것을 특징으로 하는
    기판 처리 장치.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 샤워 헤드는 상기 열전도 부재를 거쳐서 열전도된 열을 강제적으로 내보내는 냉각 수단을 더 갖는 것을 특징으로 하는
    기판 처리 장치.
  10. 리 용기 내의 피처리 기판이 탑재되는 탑재대의 위쪽의 대향하는 위치에 마련되고, 상기 처리 용기 내에서 사전 결정된 처리를 행할 때에 처리 가스를 토출하는 샤워 헤드로서,
    가스 도입부가 형성된 금속제의 상부 플레이트와,
    다수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와,
    상기 상부 플레이트와 상기 하부 플레이트 사이에 마련된 가스 확산 공간과,
    상기 하부 플레이트의 아래쪽 면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 복수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와
    상기 가스 확산 공간 내에 상기 상부 플레이트와 상기 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 용기 내에서 행해지는 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 구비하는 것을 특징으로 하는
    샤워 헤드.
  11. 처리 용기 내의 피처리 기판이 탑재되는 탑재대의 위쪽의 대향하는 위치에 마련되고, 상기 처리 용기 내에서 사전 결정된 처리를 행할 때에 처리 가스를 토출하는 샤워 헤드로서,
    가스 도입부가 형성된 금속제의 상부 플레이트와,
    다수의 가스 통과 구멍이 형성된 금속제의 하부 플레이트와,
    상기 상부 플레이트와 상기 하부 플레이트 사이에 마련되고, 복수의 가스 통과 구멍을 갖는 중간 플레이트와,
    상기 상부 플레이트와 상기 중간 플레이트 사이에 마련된 제 1 가스 확산 공간과,
    상기 중간 플레이트와 상기 하부 플레이트 사이에 마련된 제 2 가스 확산 공간과,
    상기 하부 플레이트의 아래쪽 면을 덮도록 마련되고, 상기 가스 통과 구멍에 대응하는 위치에 다수의 가스 토출 구멍이 형성된 세라믹스제의 커버 부재와,
    상기 제 1 가스 확산 공간 내 및 상기 제 2 가스 확산 공간 내에, 각각 상기 상부 플레이트와 상기 중간 플레이트 사이 및 상기 중간 플레이트와 하부 플레이트 사이를 접속하도록 마련되고, 상기 처리 용기 내에서 행해지는 처리에 따라 발생하는 열을 위쪽으로 열전도하는 복수의 열전도 부재를 구비하는 것을 특징으로 하는
    샤워 헤드.
  12. 제 11 항에 있어서,
    상기 제 1 가스 확산 공간 내에 마련된 열전도 부재와, 상기 제 2 가스 공간 내에 마련된 열전도 부재는 대응하는 위치에 마련되어 있는 것을 특징으로 하는
    샤워 헤드.
  13. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 사전 결정된 처리는 상기 처리 용기 내에 플라즈마를 형성하여 피처리 기판에 플라즈마 처리를 실시하는 것을 특징으로 하는
    샤워 헤드.
  14. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 하부 플레이트와 상기 커버 부재 사이는 요철 형상으로 되어 있는 것을 특징으로 하는
    샤워 헤드.
  15. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 열전도 부재는 원주 형상을 하고 있는 것을 특징으로 하는
    샤워 헤드.
  16. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 열전도 부재는 직경이 2~12mm인 것을 특징으로 하는
    샤워 헤드.
  17. 제 10 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 열전도 부재를 거쳐서 열전도된 열을 강제적으로 내보내는 냉각 수단을 더 갖는 것을 특징으로 하는
    샤워 헤드.
KR1020080060912A 2007-06-27 2008-06-26 기판 처리 장치 및 샤워 헤드 KR101050641B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-00168861 2007-06-27
JP2007168861A JP5008478B2 (ja) 2007-06-27 2007-06-27 基板処理装置およびシャワーヘッド

Publications (2)

Publication Number Publication Date
KR20080114612A KR20080114612A (ko) 2008-12-31
KR101050641B1 true KR101050641B1 (ko) 2011-07-19

Family

ID=40158984

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080060912A KR101050641B1 (ko) 2007-06-27 2008-06-26 기판 처리 장치 및 샤워 헤드

Country Status (5)

Country Link
US (1) US20090000743A1 (ko)
JP (1) JP5008478B2 (ko)
KR (1) KR101050641B1 (ko)
CN (1) CN101335192B (ko)
TW (1) TWI480949B (ko)

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5430192B2 (ja) * 2009-03-19 2014-02-26 東京エレクトロン株式会社 温度調節装置、温度調節方法、基板処理装置及び対向電極
JP5212275B2 (ja) * 2009-07-02 2013-06-19 三菱マテリアル株式会社 プラズマ処理装置用電極板
CN102473612B (zh) * 2009-08-10 2015-06-10 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101299705B1 (ko) * 2011-04-29 2013-08-28 세메스 주식회사 분사유닛 및 이를 가지는 기판처리장치
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR101955672B1 (ko) * 2012-08-08 2019-03-07 주식회사 미코 샤워 헤드 및 이를 구비하는 식각 장치
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103132139A (zh) * 2013-03-07 2013-06-05 光达光电设备科技(嘉兴)有限公司 外延沉积设备、喷淋头和及其制造方法
TWI527626B (zh) * 2014-01-15 2016-04-01 財團法人工業技術研究院 噴灑頭裝置
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107109618B (zh) * 2015-06-29 2019-10-22 株式会社爱发科 基板处理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
WO2017073679A1 (ja) * 2015-10-30 2017-05-04 京セラ株式会社 シャワープレート、半導体製造装置およびシャワープレートの製造方法
JP2016096342A (ja) * 2015-11-26 2016-05-26 東京エレクトロン株式会社 プラズマ処理装置
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
WO2017208311A1 (ja) * 2016-05-30 2017-12-07 株式会社Jcu プラズマ処理装置及び方法
EP3255173B1 (de) * 2016-06-06 2018-11-21 Meyer Burger (Germany) AG Fluidtemperierter gasverteiler in schichtbauweise
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102256691B1 (ko) * 2017-10-24 2021-05-26 세메스 주식회사 기판 처리 장치 및 방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US20200013591A1 (en) * 2018-02-15 2020-01-09 Yield Engineering Systems, Inc. Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7097284B2 (ja) 2018-12-06 2022-07-07 東京エレクトロン株式会社 プラズマ処理装置
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
CN111383881B (zh) * 2018-12-27 2023-03-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
JP7132359B2 (ja) * 2019-01-07 2022-09-06 株式会社アルバック 真空処理装置、真空処理装置のクリーニング方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN110729161A (zh) * 2019-10-21 2020-01-24 上海华虹宏力半导体制造有限公司 等离子体刻蚀装置
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
CN111411348B (zh) * 2020-04-13 2022-06-21 拓荆科技股份有限公司 Pe-cvd反应器喷淋板的加热系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1055975A (ja) 1996-08-08 1998-02-24 Hitachi Ltd 半導体装置用シリコン結晶体
KR20060072346A (ko) * 2004-12-23 2006-06-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR20100011576A (ko) * 2008-07-25 2010-02-03 주식회사 코미코 내 플라즈마성 갖는 세라믹 코팅체

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP4559202B2 (ja) * 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2006303263A (ja) * 2005-04-22 2006-11-02 Renesas Technology Corp 半導体装置の製造方法
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1055975A (ja) 1996-08-08 1998-02-24 Hitachi Ltd 半導体装置用シリコン結晶体
KR20060072346A (ko) * 2004-12-23 2006-06-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR20100011576A (ko) * 2008-07-25 2010-02-03 주식회사 코미코 내 플라즈마성 갖는 세라믹 코팅체

Also Published As

Publication number Publication date
KR20080114612A (ko) 2008-12-31
CN101335192B (zh) 2010-07-28
JP5008478B2 (ja) 2012-08-22
TW200921783A (en) 2009-05-16
US20090000743A1 (en) 2009-01-01
CN101335192A (zh) 2008-12-31
JP2009010101A (ja) 2009-01-15
TWI480949B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
KR101050641B1 (ko) 기판 처리 장치 및 샤워 헤드
US7767055B2 (en) Capacitive coupling plasma processing apparatus
KR100886272B1 (ko) 플라즈마 처리 장치
KR101910670B1 (ko) 플라즈마 처리 장치
US10276405B2 (en) Plasma processing apparatus
JP4255747B2 (ja) プラズマ処理装置及びプラズマ処理方法
TW201836008A (zh) 電漿處理裝置
US11967511B2 (en) Plasma processing apparatus
JP6974088B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20180076311A (ko) 포커스 링 및 기판 처리 장치
KR20120074210A (ko) 플라즈마 처리 장치
US20070227666A1 (en) Plasma processing apparatus
TWI668727B (zh) 泵送系統與包括此泵送系統的電漿處理設備、以及處理基板的方法
KR101898079B1 (ko) 플라즈마 처리 장치
TW201911976A (zh) 電漿處理裝置及氣體噴淋頭
TW202121567A (zh) 基板處理裝置及基板處理方法
TWI809007B (zh) 半導體製造裝置用之對焦環及半導體製造裝置
TWI751224B (zh) 電漿處理裝置及噴頭
JP2019201086A (ja) 処理装置、部材及び温度制御方法
JP7246451B2 (ja) プラズマ処理装置及びプラズマ処理方法
TW201943014A (zh) 被處理體的載置裝置及處理裝置
JP7204564B2 (ja) プラズマ処理装置
TW202129827A (zh) 基板處理方法及基板處理裝置
WO2020059596A1 (ja) 載置台及び基板処理装置
JP7145625B2 (ja) 基板載置構造体およびプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 9