CN101335192A - 基板处理装置和喷淋头 - Google Patents

基板处理装置和喷淋头 Download PDF

Info

Publication number
CN101335192A
CN101335192A CNA2008101292302A CN200810129230A CN101335192A CN 101335192 A CN101335192 A CN 101335192A CN A2008101292302 A CNA2008101292302 A CN A2008101292302A CN 200810129230 A CN200810129230 A CN 200810129230A CN 101335192 A CN101335192 A CN 101335192A
Authority
CN
China
Prior art keywords
gas
lower panel
spray head
container handling
diffusion space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101292302A
Other languages
English (en)
Other versions
CN101335192B (zh
Inventor
饭塚八城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101335192A publication Critical patent/CN101335192A/zh
Application granted granted Critical
Publication of CN101335192B publication Critical patent/CN101335192B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种能够使用具有气体喷出部分为金属和陶瓷的两层结构的喷淋平板的喷淋头来进行均匀的处理的基板处理装置。喷淋头(18)具有:形成有气体导入孔(61a)的金属制的上部板(61);形成有多个气体通过孔(66)的金属制的下部板(62);设置在上部板(61)和下部板(62)之间的气体扩散空间(S);以覆盖下部板(62)的下侧整个表面的方式设置的、与气体通过孔(66)对应形成有多个气体喷出孔(67)的陶瓷制的罩部件(64);和在气体扩散空间(S)内以连接上部板(61)和下部板(62)之间的方式设置的、将伴随着处理而产生的热向上方传递的多个传递部件(70a、70b)。

Description

基板处理装置和喷淋头
技术领域
本发明涉及对半导体晶片等基板实施等离子体蚀刻等处理的基板处理装置和其使用的喷淋头。
背景技术
例如在半导体设备的制造工艺中,为了在形成于作为被处理基板的半导体晶片的规定的层上形成规定的图形,多采用以抗蚀剂作为掩模利用等离子体进行蚀刻的等离子体蚀刻处理。
作为进行这样的等离子体蚀刻的等离子体蚀刻装置,能够使用多种装置,但其中以容量结合型平行平板等离子体处理装置为主流。
容量结合型平行平板等离子体蚀刻装置,在腔室内配置有一对平行平板电极(上部和下部电极),向腔室内导入处理气体,并且向电极的一方或双方施加高频从而在电极间形成高频电场,利用该高频电场形成处理气体的等离子体从而对半导体晶片的规定的层实施等离子体蚀刻。具体而言,使载置半导体晶片的基座作为下部电极起作用,使从半导体晶片的上方喷淋状地供给处理气体的喷淋头作为上部电极起作用,通过在它们之间形成高频电场来形成处理气体的等离子体(例如专利文献1)。
另一方面,在这样的容量结合型平行平板等离子体蚀刻装置中,为了防止金属污染,保护喷淋头不受等离子体腐蚀或损伤等,作为喷淋头的喷淋平板使用在金属板的下面粘贴石英板等绝缘性的陶瓷板的平板或对实施陶瓷表面涂层的平板。
这样的等离子体蚀刻装置的喷淋头,受到来自被加热的载置台的辐射热或来自等离子体的输入热而被加热,但由于在喷淋头的内部设有用于混合或扩散处理气体的空间,所以该空间作为绝热部起作用,喷淋头所受到的热仅传递到空间的不存在的周边部,热不能充分扩散,存在喷淋头的温度增高的倾向。
如果像这样喷淋头的温度上升,则由于喷淋头由金属和陶瓷构成,所以由于这些的热膨胀差而导致形成于喷淋平板的多个气体喷出孔发生错位,特别是在喷淋头的周边部该错位较大,产生不能喷出气体的情况,蚀刻的均匀性恶化。
这样的问题不仅在等离子体蚀刻装置中,而且也在使用具有金属和陶瓷的两层结构的喷淋平板的喷淋头的基板处理中发生。
【专利文献1】日本特开2000-173993号公报
发明内容
本发明是鉴于这样的情况而提出的,其目的在于提供一种能够使用具有气体喷出部分为金属和陶瓷的两层结构的喷淋平板的喷淋头来进行均匀的处理的基板处理装置,和提供一种这样的基板处理装置所使用的喷淋头。
为了解决上述课题,在本发明的第一观点中,提供一种基板处理装置,其特征在于,包括:收容被处理基板的处理容器;配置在上述处理容器内,载置被处理基板的载置台;设置在与上述载置台相对的位置上,向上述处理容器内喷出处理气体的喷淋头;对上述处理容器内进行排气的排气机构;和在上述处理容器内对被处理基板实施规定的处理的处理机构,其中,上述喷淋头包括:形成有气体导入部的金属制的上部板;形成有多个气体通过孔的金属制的下部板;设置在上述上部板和上述下部板之间的气体扩散空间;以覆盖上述下部板的整个下侧的方式设置的、在与上述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和在上述气体扩散空间内以连接上述上部板和上述下部板之间的方式设置的、将伴随着上述处理机构的处理而产生的热向上方传递的多个传递部件。
此外,在本发明的第二观点中,提供一种基板处理装置,其特征在于,包括:收容被处理基板的处理容器;配置在上述处理容器内,载置被处理基板的载置台;设置在与上述载置台相对的位置上,向上述处理容器内喷出处理气体的喷淋头;对上述处理容器内进行排气的排气机构;和在上述处理容器内对被处理基板实施规定的处理的处理机构,其中,上述喷淋头包括:形成有气体导入部的金属制的上部板;形成有多个气体通过孔的金属制的下部板;设置在上述上部板和上述下部板之间的、具有多个气体通过孔的中间板;设置于上述上部板和上述中间板之间的第一气体扩散空间;设置于上述中间板和上述下部板之间的第二气体扩散空间;以覆盖上述下部板的下侧整个表面的方式设置的、在与上述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和在上述第一扩散空间内和上述第二气体扩散空间内,以分别连接上述上部板和上述中间板之间和连接上述中间板和上述下部板之间的方式设置的、将伴随着上述处理机构的处理而产生的热向上方传递的多个传递部件。
在本发明的第三观点中,提供一种喷淋头,其设置于处理容器内的载置有被处理基板的载置台的上方的相对位置,向上述处理容器内喷出处理气体,其特征在于,包括:形成有气体导入部的金属制的上部板;形成有多个气体通过孔的金属制的下部板;设置在上述上部板和上述下部板之间的气体扩散空间;以覆盖上述下部板的下侧整个表面的方式设置的、在与上述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和在上述气体扩散空间内以连接上述上部板和上述下部板之间的方式设置的、将伴随着上述处理容器内的处理而产生的热传递到上方的多个传递部件。
在本发明的第四观点中,提供一种喷淋头,其设置于处理容器内的载置有被处理基板的载置台的上方的相对位置,在上述处理容器内进行规定的处理时喷出处理气体,其特征在于,包括:形成有气体导入部的金属制的上部板;形成有多个气体通过孔的金属制的下部板;设置在上述上部板和上述下部板之间的、具有多个气体通过孔的中间板;设置于上述上部板和上述中间板之间的第一气体扩散空间;设置于上述中间板和上述下部板之间的第二气体扩散空间;以覆盖上述下部板的下侧整个表面的方式设置的、在与上述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和在上述第一扩散空间内和上述第二气体扩散空间内,以分别连接上述上部板和上述中间板之间和连接上述中间板和上述下部板之间的方式设置的、将伴随着上述处理容器内的处理而产生的热向上方传递的多个传递部件。
在上述第一~第四观点中,优选上述下部板和上述罩部件之间为凹凸状。此外,优选上述传递部件为圆柱状,其直径为2~12mm的范围。此外,也可以在上述喷淋头上设置强制放掉通过上述传递部件而传递的热量的冷却单元。
在上述第一和第二观点中,上述处理机构可以为在上述处理容器内形成等离子体从而对被处理基板实施等离子体处理的机构,也可以使用在上述载置台和上述喷淋头之间形成高频电场,通过该高频电场生成等离子体的机构。
在上述第二和第三观点中,优选设置于上述第一气体扩散空间内的传递部件和设置于上述第二气体空间内的传递部件设置在对应的位置上。
在上述第三和第四观点中,上述规定的处理可以为在上述处理容器内形成等离子体从而对被处理基板实施等离子体处理。
根据本发明,在具有形成有气体导入部的金属制的上部板;形成有多个气体通过孔的金属制的下部板;设置在上述上部板和上述下部板之间的气体扩散空间;和以覆盖上述下部板的下侧整个表面的方式设置的、在与上述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件的喷淋头中,在上述气体扩散空间内以连接上述上部板和上述下部板之间的方式设置有将伴随着上述处理容器内的处理而产生的热向上方传递的的多个传递部件,因此能够将下部板和罩部件所受到的热通过传递部件迅速放出。因此,能够抑制下部板和罩部件的温度上升,或在它们之上形成温度梯度,能够降低下部板的气体通过孔和罩部件的气体喷出孔的由于热膨胀差而引起的错位。
附图说明
图1是表示本发明的一实施方式涉及的等离子体蚀刻装置的截面图。
图2是放大表示图1的等离子体蚀刻装置所使用的喷淋头的截面图。
图3是进一步放大表示图1的等离子体蚀刻装置所使用的喷淋头的要部的截面图。
图4是用于说明在图2和图3的喷淋头的下部板和罩部件之间形成的凹凸的效果的图。
图5是表示喷淋头中的传递部件与气体通过孔的配置关系的图。
图6是表示现有的喷淋头的热的移动状态,以及由下部板和罩部件的热膨胀差而引起的孔错位的状态的图。
图7用于说明本发明的一实施方式涉及的喷淋头的热的移动状态的图。
符号说明
1腔室
2工作台
5聚焦环
10a、10b高频电源
14排气环
15处理气体供给装置
18喷淋头
20排气装置
21a、21b环磁铁
61上部板
62下部板
63中间板
64罩部件
66、68气体通过孔
67气体喷出孔
70a、70b传递部件
72凸部
73凹部
100等离子体蚀刻装置
W半导体晶片(被处理基板)
具体实施方式
以下,参照附图对本发明的实施方式进行说明。
图1是表示本发明的一实施方式涉及的等离子体蚀刻装置的截面图。
该等离子体蚀刻装置100具有密封地构成的、大致呈圆筒状的腔室1。该腔室1的主体由例如铝等金属构成,在其内壁表面形成有由氧化处理薄膜或Y2O3等绝缘陶瓷构成的薄膜(例如溶射薄膜)那样的绝缘膜。腔室1直流接地。
在该腔室1内设置有水平地支撑作为被处理基板的晶片W且作为下部电极起作用的支撑工作台2。支撑工作台2例如由表面被氧化处理的铝构成。从腔室1的底壁以与支撑工作台2的外周对应的方式突出形成有环状的支撑部3,在该支撑部3上设有环状的绝缘部件4,支持工作台2的外边缘部通过该绝缘部件4支撑。在支撑工作台2的上方外周设有由导电材料例如Si、SiC等形成的聚焦环5。在绝缘部件4的下端和腔室1周壁之间设有锥状的排气环14。排气环14具有使处理气体通过而导入排气管路,并且规定等离子体生成区域的作用。此外,在支撑工作台2和腔室1的底壁之间形成有空洞部7。
在支撑工作台2的表面部分设有用于静电吸附晶片W的静电卡盘6。该静电卡盘6构成为在绝缘体6b之间设有电极6a,直流电源13通过开关13a与电极6a连接。而且,通过从直流电源13向电极6a施加电压,能够利用静电力、例如库伦力吸附半导体晶片W。
在支撑工作台2内设有冷却剂流路8a,在该冷却剂流路8a上连接有冷却剂配管8b,通过冷却剂控制装置8将适当的冷却剂通过该冷却剂配管8b供给到冷却剂流路8a,使其循环。由此,能够将支撑工作台2控制在适当的温度。此外,在静电卡盘6的表面与晶片W的背面之间设有用于供给热传递用的传递气体、例如He气体的传递气体配管9a,从传递气体供给装置9通过该传递气体配管9a向晶片W背面供给传递气体。由此,即使对腔室1内进行排气而保持真空,也能够使在冷却剂流路8a循环的冷却剂的冷热有效地传递到晶片W,能够提高晶片W的温度控制性。
在支撑工作台2的大致中央连接有用于供给高频电力的供电线12a、12b,匹配器11a和高频电源10a与供电线12a连接,匹配器11b和高频电源10b与供电线12b连接。从高频电源10a供给等离子体生成用的高频电力,从高频电源10b供给用于牵引等离子体中的离子的高频电力。
另一方面,与支撑工作台2相对设置有用于喷淋状喷出蚀刻用的处理气体的喷淋头18。该喷淋头18作为上部电极起作用,嵌入到腔室1的顶壁部分。另外,稍后详细说明喷淋头18的结构。
作为上部电极的喷淋头18通过腔室1接地,与被供给高频电力并作为下部电极起作用的支撑工作台2一起构成一对平行平板电极。而且,被供给高频电力的作为下部电极的支撑工作台2作为阴极起作用,作为接地的上部电极的喷淋头18作为阳极起作用。这些作为阴极电极的支撑工作台2和作为阳极电极的上部电极18之间以及到绝缘部件4的外侧部分的排气环14为止的区域成为等离子体生成区域R。
作为蚀刻用的处理气体,能够采用现有技术所使用的各种气体,例如能够适当使用具有碳氟化合物气体(CxFy)或碳氢氟化合物气体(CpHqFr)那样的卤素的气体。除此之外也可以添加Ar、He等的稀有气体或N2气体、O2气体等。此外,在应用于灰化的情况下,作为处理气体能够使用例如O2气体等。
这样的处理气体,从处理气体供给装置15通过气体供给配管15a、和设置于腔室1的顶壁1a的气体导入孔1b到达喷淋头18,从喷淋头18喷淋状喷出,供给在晶片W上形成的膜的蚀刻。
在腔室1的底壁连接有排气管19,包括真空泵等的排气装置20与该排气管19连接。而且通过使排气装置20的真空泵动作能够将腔室1内减压至规定的真空度。另一方面,在腔室1的侧壁上侧设有开闭晶片W的搬入搬出口23的闸阀24。
另一方面,在腔室1的搬入搬出口23的上下以围绕腔室1的方式,同心状地配置有两个环磁铁21a、21b,在支撑工作台2和喷淋头18之间的处理空间的周围形成磁场。该环磁铁21a、21b利用图中未示的旋转机构可旋转地设置。
环磁铁21a、21b将由永久磁铁构成的多个扇形磁铁以多级状态配置为环状。因而,在邻接的扇形磁铁之间形成磁力线,仅在处理空间的周边部形成磁场,晶片配置部分实质上处于无磁场状态。由此,能够得到适度的等离子体关起来效果。
等离子体蚀刻装置100的各构成部为与控制部(工艺控制器)50连接从而被控制的结构。具体而言,对冷却剂控制装置8、传递气体供给装置9、排气装置20、静电卡盘6用的直流电源13的开关13a、高频电源10、匹配器11等进行控制。
此外,用户界面51与控制部50连接,该用户界面51由操作员为了管理等离子体蚀刻装置100而进行指令输入操作等的键盘,可观察地显示等离子体处理装置100的运转状况的显示器等构成。
而且,控制部50与存储部52连接,该存储部52存储有用于通过控制部50的控制来实现在等离子体处理装置100中执行的各种处理的控制程序、或根据处理条件在等离子体蚀刻装置的各结构部执行处理用的程序即方案。方案可以存储在硬盘或半导体存储器中,也可以通过收容在CDROM、DVD等的可搬性的存储介质中状态设置在存储部52的规定位置。
而且,根据需要,根据来自用户界面51的指示等从存储部52调出任意的方案并在控制部50执行,由此在控制部50的控制下,在等离子体蚀刻装置100中进行所希望的处理。
接着,对喷淋头18进行详细说明。
图2是放大表示喷淋头的截面图。如该图所示,喷淋头18具有位于最上部的金属制(铝、不锈钢等)的上部板61,和设置于该上部板61的下面的金属制的(铝、不锈钢等)的下部板62,将它们螺旋固定。而且,在这些上部板61和下部板62之间形成有气体扩散空间S。此外,在这些上部板61和下部板62之间设有金属制的(铝、不锈钢等)的中间板63,将扩散空间S分成上部的第一扩散空间S1和下部的第二扩散空间S2两部分。该中间板63作为气体扩散板起作用。而且,从保护金属制的下部板62等不受等离子体或损伤,并且抑制金属污染物的观点出发,在下部板62的下侧以覆盖全面的方式安装有由石英或Y2O3等绝缘性陶瓷构成的罩部件64。在下部板62上形成有多个气体通过孔66,在罩部件64上,在与该气体通过孔66对应的位置上形成有气体喷出孔67。此外,在中间板63上形成有多个气体通过孔68。
在下部板62和中间板63之间的第二扩散空间S2、以及中间板63和上部板61之间的第一扩散空间S1,分别设有用于从上方放掉从等离子体等受到的热的呈圆柱状的多个传递部件70a、70b。传递部件70a和传递部件70b,设置在对应的位置上,使来自等离子体的热经由下部板62、传递部件70a、传递部件70b到达上部板61,通过腔室1的上壁向外部放热。即,传递部件70a和传递部件70b的对应的结构一体地作为连接下部板62和上部板61的传递部件起作用。
如图3的进一步放大图所示,在罩部件64的上表面形成有多个凸部72,此外,在下部板62的下表面,在与凸部72对应的位置形成有凹部73,使它们进行嵌合。这些凸部72和凹部73设置在形成有气体通过孔66和气体喷出孔67的位置。通过这样设置凹凸,如图4所示,使气体泄漏路径弯曲从而使其传导力降低,能够降低气体的露出。此外,也能够得到降低来自其它处的露出气体的混入的效果。另外,通过在罩部件64和下部板63之间流过非活泼性气体,也能够附加降低气体的露出的功能。
设置于罩部件64的气体喷出孔67,具有在下部孔径变细的2段孔结构,设计成与喷出传导力相比扩散空间S的传导力大。由此,在扩散空间S能够均匀地进行气体的混合·扩散。
如图5所示,传递部件70b(70a)和中间板63的气体通过孔68和形成于下部板62的气体通过孔66均形成为矩阵状,气体通过孔68和66以不正对的方式配置。此外,传递部件70b(70a)配置在与气体通过孔68和66不重叠的位置。
传递部件70a、70b的直径例如为5~20mm,优选为5~12mm。此外,邻接的传递部件70a、70b的间隔例如为7~40mm,优选为9~18mm。此外,优选以传递部件70a的截面积与第二空间S2的截面积的比以及传递部件70b的截面积与第一空间S1的截面积的比为0.05~0.50的方式配置传递部件70a、70b。如果该面积比比0.05小,则传递传递部件70a、70b的热的效果减小,效果不充分,相反地,如果比0.50大,则第二扩散空间S2和第一扩散空间S1的流路电阻增大,易于产生气体流的不均匀性。另外,传递部件70a、70b并不限定于圆柱状,可以为多种的截面形状。
在上部板61的中央,在与气体导入孔1b对应的位置,设置有气体导入孔61a,从处理气体供给装置15经由气体供给配管15a、和气体导入孔1b流动而来的处理气体,从该气体导入孔61a导入到喷淋头18内。然后,经由第一扩散空间S1、中间板63的气体通过孔68、第二扩散空间S2、气体通过孔66,从气体喷出孔67向等离子体生成区域R喷出处理气体。
接着,对这样构成的等离子体蚀刻装置的处理动作进行说明。
首先,在打开图1的等离子体蚀刻装置100的闸阀24,利用搬送臂将具有蚀刻对象层的晶片W搬入到腔室1内,并载置在支撑工作台2上之后,使搬送臂退避,关闭闸阀24,利用排气装置20的真空泵通过排气管19使腔室1内成为规定的真空度。
然后,以规定的流量从处理气体供给装置15向腔室1内供给蚀刻用的处理气体,通过喷淋头18导入到腔室1内,将腔室1内维持在规定的压力,例如0.13~133.3Pa(1~1000mTorr)左右。在像这样维持在规定的压力状态下从高频电源10a向支撑工作台2供给频率为40MHz以上、例如100MHz的等离子体用的高频电力。此外,从高频电源10b向支撑工作台2供给牵引离子用的500kHz~27MHz、例如13.56MHz的高频电力。另一方面,从直流电源13向静电卡盘6的电极6a施加规定的电压,晶片W被库伦力吸附。
通过如此向作为下部电极的支撑工作台2施加高频电力,在作为上部电极的喷淋头18和作为下部电极的支撑工作台2之间的处理空间形成高频电场,由此供给到处理空间的处理气体被等离子体化,利用该等离子体对形成于晶片W的蚀刻对象层进行蚀刻。
在该蚀刻时,通过利用多级状态的环磁铁21a、21b在处理空间的周围形成磁场,能够发挥适度的等离子体关起来效果,能够辅助等离子体的均匀化。此外,根据膜也存在没有这样的磁场效果的情况,但在这种情况下,使扇形磁铁旋转以处理空间的周围实质上不形成磁场的方式进行处理即可。在形成这样的磁场的情况下,利用在支撑工作台2上的晶片W的周围设置的导电性的聚焦环5到聚焦环区域为止作为下部电极起作用,因此等离子体形成区域扩大至聚焦环5上,促进晶片W的周边部的等离子体处理,提高蚀刻速率的均匀性。
在像这样进行等离子体蚀刻处理的情况下,利用来自等离子体的热,从下面加热喷淋头18,使其温度上升。在这种情况下,如图6(a)所示,现有的喷淋头118,从等离子体等向被加热的下部板162和由陶瓷构成的罩部件164提供的热,在内部空间S’被绝热,仅在上部板161和下部板162的连接的周边部通过热传导放热。因此,下部板162和罩部件164的温度难以下降。此外,下部板162和罩部件164的从中央沿水平方向周边侧流动,因此,在水平方向形成温度梯度。
另一方面,下部板162为铝或不锈钢那样的金属制,热膨胀系数大,罩部件164由石英或Y2O3等绝缘性陶瓷构成,因此与金属相比热膨胀系数小。因此,如果在这些为邻接的状态下温度上升至例如140℃左右,并且像这样在水平方向形成温度梯度,则由于它们之间的热膨胀不同,如图6(b)所示,在周边部侧下部板162的气体通过孔166和罩部件164的气体喷出孔167的位置错位。在这种情况下,由于气体喷出孔167以防止等离子体侵入而产生异常放电,或者发生金属污染物为目的而形成为小径,因此如图6(c)所示,在周边部气体通过孔166和气体喷出孔167完全错位,也产生气体的喷出被完全遮断的情况。周边部的处理气体的喷出量对蚀刻的选择性有较大的影响,因此当像这样在周边部的气体的喷出量发生较大的变化时,蚀刻特性降低。
因此,在本实施方式中,在喷淋头18的气体扩散空间S设有传递部件70a、70b,如图7所示,以从罩部件64和下部板62经由传递部件70a、70b到达上部板61的方式向上方传递。由此,能够将罩部件64和下部板62从等离子体等接受到的热通过传递部件70a、70b迅速且均匀地传递到上部板61并向外部放热,因此能够抑制自身温度上升,并且也难以产生水平方向的温度梯度。因此,在金属制的下部板62和陶瓷制的罩部件64之间难以产生热膨胀差,也能够减小在周边部的气体通过孔66和气体喷出孔67的错位,能够尽可能抑制蚀刻特性的降低。
此外,即使像这样在气体扩散空间S设置传递部件,只要传递部件与扩散空间S的面积比为如上所述的0.05~0.5的优选范围,则实质上对水平方向的传导力没有影响,气体喷出量在中央部和周边部至多有2%左右的差,对蚀刻特性没有影响。
此外,在罩部件64的上表面形成有多个凸部72,在下部板62的下表面形成有多个凹部73,这些凸部72和凹部73处于嵌合状态,因此通过使处理气体从下部板62和罩部件64之间漏出时的气体泄漏路径弯曲,气体泄漏路径的传导力降低,气体的露出减少。
如上所述,通过设置有传递部件70a、70b,下部板62和罩部件64能够起到将从等离子体受到的热迅速且均匀地向上方放掉,能够达到抑制气体喷出孔的错位这样的效果,但通过在上部板61上设置冷却散热片或风扇、而且设置冷却剂供给等的强制冷却单元,能够进一步增大该效果。此外,通过在上部板61上设置加热单元或冷却单元,也能够得到实现喷淋头18的温度调节的效果。
另外,本发明并不限定于上述实施方式,可以进行多种变形。例如,在上述实施方式中,将罩部件作为板材以覆盖下部板的全面的方式安装,但并不限定于此,也可以为由陶瓷构成的膜。此外,在上述实施方式中设置有中间板,但也可以不设置中间板,以直接连接下部板和上部板的方式设置传递部件。而且,在上述实施方式中,示出了本发明应用于容量结合型平行平板等离子体蚀刻装置的例子,但并不限定于此,即使为利用微波等离子体处理那样的其它的等离子体源的处理也可以,并不限定于蚀刻,也可以为等离子体CVD等的其它的等离子体处理。此外,也可以为不使用热CVD等的等离子体的处理。此外,作为被处理基板例示了半导体晶片,但并不限定于此,也能适用于以液晶显示装置(LCD)为代表的平板显示器(FPD)用的玻璃基板等其它的基板。

Claims (17)

1.一种基板处理装置,其特征在于,包括:
收容被处理基板的处理容器;
配置在所述处理容器内,载置被处理基板的载置台;
设置在与所述载置台相对的位置上,向所述处理容器内喷出处理气体的喷淋头;
对所述处理容器内进行排气的排气机构;和
在所述处理容器内对被处理基板实施规定的处理的处理机构,其中,
所述喷淋头包括:
形成有气体导入部的金属制的上部板;
形成有多个气体通过孔的金属制的下部板;
设置在所述上部板和所述下部板之间的气体扩散空间;
以覆盖所述下部板的下侧整个表面的方式设置的、在与所述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和
在所述气体扩散空间内以连接所述上部板和所述下部板之间的方式设置的、将伴随着所述处理机构的处理而产生的热向上方传递的多个传递部件。
2.一种基板处理装置,其特征在于,包括:
收容被处理基板的处理容器;
配置在所述处理容器内,载置被处理基板的载置台;
设置在与所述载置台相对的位置上,向所述处理容器内喷出处理气体的喷淋头;
对所述处理容器内进行排气的排气机构;和
在所述处理容器内对被处理基板实施规定的处理的处理机构,其中,
所述喷淋头包括:
形成有气体导入部的金属制的上部板;
形成有多个气体通过孔的金属制的下部板;
设置在所述上部板和所述下部板之间的、具有多个气体通过孔的中间板;
设置于所述上部板和所述中间板之间的第一气体扩散空间;
设置于所述中间板和所述下部板之间的第二气体扩散空间;
以覆盖所述下部板的下侧整个表面
的方式设置的、在与所述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和
在所述第一气体扩散空间内和所述第二气体扩散空间内,分别以连接所述上部板和所述中间板之间和连接所述中间板和所述下部板之间的方式设置的,将伴随着所述处理机构的处理而产生的热向上方传递的多个传递部件。
3.如权利要求2所述的基板处理装置,其特征在于:
设置于所述第一气体扩散空间内的传递部件和设置于所述第二气体扩散空间内的传递部件设置在对应的位置上。
4.如权利要求1~3中任一项所述的基板处理装置,其特征在于:
所述处理机构,在所述处理容器内形成等离子体从而对被处理基板实施等离子体处理。
5.如权利要求4所述的基板处理装置,其特征在于:
所述处理机构,在所述载置台和所述喷淋头之间形成高频电场,通过该高频电场生成等离子体。
6.如权利要求1~5中任一项所述的基板处理装置,其特征在于:
所述下部板和所述罩部件之间为凹凸状。
7.如权利要求1~6中任一项所述的基板处理装置,其特征在于:
所述传递部件为圆柱状。
8.如权利要求1~7中任一项所述的基板处理装置,其特征在于:
所述传递部件的直径为2~12mm。
9.如权利要求1~8中任一项所述的基板处理装置,其特征在于:
所述喷淋头还具有强制放掉通过所述传递部件传递的热量的冷却单元。
10.一种喷淋头,其设置于处理容器内的载置被处理基板的载置台的上方的相对位置,向所述处理容器内喷出处理气体,其特征在于,包括:
形成有气体导入部的金属制的上部板;
形成有多个气体通过孔的金属制的下部板;
设置在所述上部板和所述下部板之间的气体扩散空间;
以覆盖所述下部板的下侧整个表面的方式设置的、在与所述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和
在所述气体扩散空间内以连接所述上部板和所述下部板之间的方式设置的、将伴随着在所述处理容器内进行的处理而产生的热向上方传递的多个传递部件。
11.一种喷淋头,其设置于处理容器内的载置被处理基板的载置台的上方的相对位置,在所述处理容器内进行规定的处理时喷出处理气体,其特征在于,包括:
形成有气体导入部的金属制的上部板;
形成有多个气体通过孔的金属制的下部板;
设置在所述上部板和所述下部板之间的、具有多个气体通过孔的中间板;
设置于所述上部板和所述中间板之间的第一气体扩散空间;
设置于所述中间板和所述下部板之间的第二气体扩散空间;
以覆盖所述下部板的下侧整个表面的方式设置的、在与所述气体通过孔对应的位置上形成有多个气体喷出孔的陶瓷制的罩部件;和
在所述第一气体扩散空间内和所述第二气体扩散空间内,分别以连接所述上部板和所述中间板之间和连接所述中间板和所述下部板之间的方式设置的、将伴随着在所述处理容器内进行的处理而产生的热向上方传递的多个传递部件。
12.如权利要求11所述的喷淋头,其特征在于:
设置于所述第一气体扩散空间内的传递部件和设置于所述第二气体扩散空间内的传递部件设置在对应的位置上。
13.如权利要求10~12中任一项所述的喷淋头,其特征在于:
所述规定的处理,在所述处理容器内形成等离子体从而对被处理基板实施等离子体处理。
14.如权利要求10~13中任一项所述的喷淋头,其特征在于:
所述下部板和所述罩部件之间为凹凸状。
15.如权利要求10~14中任一项所述的喷淋头,其特征在于:
所述传递部件为圆柱状。
16.如权利要求10~15中任一项所述的喷淋头,其特征在于:
所述传递部件的直径为2~12mm。
17.如权利要求10~16中任一项所述的喷淋头,其特征在于:
还具有强制放掉通过所述传递部件而传递的热量的冷却单元。
CN2008101292302A 2007-06-27 2008-06-26 基板处理装置和喷淋头 Expired - Fee Related CN101335192B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007168861 2007-06-27
JP2007168861A JP5008478B2 (ja) 2007-06-27 2007-06-27 基板処理装置およびシャワーヘッド
JP2007-168861 2007-06-27

Publications (2)

Publication Number Publication Date
CN101335192A true CN101335192A (zh) 2008-12-31
CN101335192B CN101335192B (zh) 2010-07-28

Family

ID=40158984

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101292302A Expired - Fee Related CN101335192B (zh) 2007-06-27 2008-06-26 基板处理装置和喷淋头

Country Status (5)

Country Link
US (1) US20090000743A1 (zh)
JP (1) JP5008478B2 (zh)
KR (1) KR101050641B1 (zh)
CN (1) CN101335192B (zh)
TW (1) TWI480949B (zh)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102286730A (zh) * 2010-06-21 2011-12-21 细美事有限公司 薄膜蒸镀装置
CN102473612A (zh) * 2009-08-10 2012-05-23 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
CN103132139A (zh) * 2013-03-07 2013-06-05 光达光电设备科技(嘉兴)有限公司 外延沉积设备、喷淋头和及其制造方法
CN104775105A (zh) * 2014-01-15 2015-07-15 财团法人工业技术研究院 喷洒头装置
CN107109618A (zh) * 2015-06-29 2017-08-29 株式会社爱发科 基板处理装置
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
CN108074792A (zh) * 2016-11-18 2018-05-25 应用材料公司 热重复性和原位喷头温度监测
CN108352319A (zh) * 2015-10-30 2018-07-31 京瓷株式会社 喷淋板、半导体制造装置以及喷淋板的制造方法
CN109790620A (zh) * 2016-06-06 2019-05-21 迈尔博尔格(德国)有限公司 层状构造的通过流体控制温度的气体分配器
CN110729161A (zh) * 2019-10-21 2020-01-24 上海华虹宏力半导体制造有限公司 等离子体刻蚀装置
CN111304636A (zh) * 2018-12-12 2020-06-19 三星显示有限公司 包括散热构件的沉积设备
CN111383881A (zh) * 2018-12-27 2020-07-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
CN111411348A (zh) * 2020-04-13 2020-07-14 沈阳拓荆科技有限公司 Pe-cvd反应器喷淋板的加热系统
CN112530774A (zh) * 2019-09-17 2021-03-19 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112713074A (zh) * 2019-10-25 2021-04-27 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
CN112837985A (zh) * 2019-11-22 2021-05-25 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5430192B2 (ja) * 2009-03-19 2014-02-26 東京エレクトロン株式会社 温度調節装置、温度調節方法、基板処理装置及び対向電極
JP5212275B2 (ja) * 2009-07-02 2013-06-19 三菱マテリアル株式会社 プラズマ処理装置用電極板
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101299705B1 (ko) * 2011-04-29 2013-08-28 세메스 주식회사 분사유닛 및 이를 가지는 기판처리장치
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR101955672B1 (ko) * 2012-08-08 2019-03-07 주식회사 미코 샤워 헤드 및 이를 구비하는 식각 장치
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6573498B2 (ja) * 2015-07-22 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
JP2016096342A (ja) * 2015-11-26 2016-05-26 東京エレクトロン株式会社 プラズマ処理装置
JP2019503077A (ja) * 2016-01-07 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源及びdc電極を伴う原子層エッチングシステム
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102085041B1 (ko) * 2016-05-30 2020-03-05 가부시끼가이샤 제이씨유 플라즈마 처리장치 및 방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102256691B1 (ko) * 2017-10-24 2021-05-26 세메스 주식회사 기판 처리 장치 및 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US20200013591A1 (en) * 2018-02-15 2020-01-09 Yield Engineering Systems, Inc. Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7097284B2 (ja) * 2018-12-06 2022-07-07 東京エレクトロン株式会社 プラズマ処理装置
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11901162B2 (en) 2019-01-07 2024-02-13 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JPH1055975A (ja) 1996-08-08 1998-02-24 Hitachi Ltd 半導体装置用シリコン結晶体
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
KR100901892B1 (ko) * 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4559202B2 (ja) * 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
KR100661740B1 (ko) * 2004-12-23 2006-12-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7886687B2 (en) * 2004-12-23 2011-02-15 Advanced Display Process Engineering Co. Ltd. Plasma processing apparatus
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2006303263A (ja) * 2005-04-22 2006-11-02 Renesas Technology Corp 半導体装置の製造方法
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
KR100966132B1 (ko) * 2008-07-25 2010-06-25 주식회사 코미코 내 플라즈마성 갖는 세라믹 코팅체

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102473612A (zh) * 2009-08-10 2012-05-23 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
CN102473612B (zh) * 2009-08-10 2015-06-10 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
CN102286730A (zh) * 2010-06-21 2011-12-21 细美事有限公司 薄膜蒸镀装置
CN102286730B (zh) * 2010-06-21 2013-12-18 细美事有限公司 薄膜蒸镀装置
CN103132139A (zh) * 2013-03-07 2013-06-05 光达光电设备科技(嘉兴)有限公司 外延沉积设备、喷淋头和及其制造方法
CN104775105A (zh) * 2014-01-15 2015-07-15 财团法人工业技术研究院 喷洒头装置
CN107109618A (zh) * 2015-06-29 2017-08-29 株式会社爱发科 基板处理装置
CN108352319A (zh) * 2015-10-30 2018-07-31 京瓷株式会社 喷淋板、半导体制造装置以及喷淋板的制造方法
CN108352319B (zh) * 2015-10-30 2022-05-27 京瓷株式会社 喷淋板、半导体制造装置以及喷淋板的制造方法
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
CN109790620A (zh) * 2016-06-06 2019-05-21 迈尔博尔格(德国)有限公司 层状构造的通过流体控制温度的气体分配器
CN109790620B (zh) * 2016-06-06 2020-03-03 迈尔博尔格(德国)有限公司 层状构造的通过流体控制温度的气体分配器
CN108074792A (zh) * 2016-11-18 2018-05-25 应用材料公司 热重复性和原位喷头温度监测
CN108074792B (zh) * 2016-11-18 2021-05-18 应用材料公司 热重复性和原位喷头温度监测
CN111304636A (zh) * 2018-12-12 2020-06-19 三星显示有限公司 包括散热构件的沉积设备
CN111383881A (zh) * 2018-12-27 2020-07-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
CN111383881B (zh) * 2018-12-27 2023-03-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112530774A (zh) * 2019-09-17 2021-03-19 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN110729161A (zh) * 2019-10-21 2020-01-24 上海华虹宏力半导体制造有限公司 等离子体刻蚀装置
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
CN112713074A (zh) * 2019-10-25 2021-04-27 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
CN112837985A (zh) * 2019-11-22 2021-05-25 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
CN111411348B (zh) * 2020-04-13 2022-06-21 拓荆科技股份有限公司 Pe-cvd反应器喷淋板的加热系统
CN111411348A (zh) * 2020-04-13 2020-07-14 沈阳拓荆科技有限公司 Pe-cvd反应器喷淋板的加热系统

Also Published As

Publication number Publication date
KR101050641B1 (ko) 2011-07-19
US20090000743A1 (en) 2009-01-01
CN101335192B (zh) 2010-07-28
JP2009010101A (ja) 2009-01-15
KR20080114612A (ko) 2008-12-31
TWI480949B (zh) 2015-04-11
JP5008478B2 (ja) 2012-08-22
TW200921783A (en) 2009-05-16

Similar Documents

Publication Publication Date Title
CN101335192B (zh) 基板处理装置和喷淋头
CN100431097C (zh) 上部电极、等离子体处理装置和等离子体处理方法
CN100382276C (zh) 基板载放台、基板处理装置以及基板处理方法
CN101834120B (zh) 喷淋头和等离子体处理装置
KR102121655B1 (ko) 플라즈마 처리 장치
JP5198226B2 (ja) 基板載置台および基板処理装置
CN101241844B (zh) 用于前段工艺制造的原地干洗腔
CN106098548B (zh) 用于气相蚀刻以及清洗的等离子体装置
CN101276734B (zh) 等离子体处理装置
CN100382275C (zh) 基板载置台、基板处理装置及基板的温度控制方法
CN100517563C (zh) 等离子体处理装置和等离子体处理方法
US7767055B2 (en) Capacitive coupling plasma processing apparatus
CN100561679C (zh) 等离子体蚀刻装置和等离子体蚀刻方法
TWI375985B (en) Apparatus for an optimized plasma chamber top piece
CN102148125B (zh) 等离子体处理装置
JP2007529102A (ja) 動的な温度制御を有する基板支持体
CN102884610A (zh) 局限工艺空间的pecvd腔室
KR102061415B1 (ko) 플라즈마 처리 장치 및 가스 샤워 헤드
KR101590566B1 (ko) 기상식각 및 세정을 위한 플라즈마 장치
TW201836438A (zh) 電漿處理裝置及噴頭
TW201532185A (zh) 等離子體處理裝置及其靜電卡盤
WO2020059596A1 (ja) 載置台及び基板処理装置
KR102217452B1 (ko) 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템
JP2012195595A (ja) 基板処理装置およびシャワーヘッド
TW202119526A (zh) 溫度調整裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100728

Termination date: 20170626