KR101001346B1 - 반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염저감 방법 - Google Patents

반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염저감 방법 Download PDF

Info

Publication number
KR101001346B1
KR101001346B1 KR1020057000968A KR20057000968A KR101001346B1 KR 101001346 B1 KR101001346 B1 KR 101001346B1 KR 1020057000968 A KR1020057000968 A KR 1020057000968A KR 20057000968 A KR20057000968 A KR 20057000968A KR 101001346 B1 KR101001346 B1 KR 101001346B1
Authority
KR
South Korea
Prior art keywords
layer
amorphous carbon
material layer
capping
hardmask
Prior art date
Application number
KR1020057000968A
Other languages
English (en)
Other versions
KR20050019905A (ko
Inventor
본저더글라스제이.
플래트마리나브이.
양치유
벨스코트에이.
찬달린에이.
피셔필립에이.
라이온즈크리스토퍼에프.
장마크에스.
가오페이-유안
라이트마릴린아이
유루
닥시나-머피스리칸테스와라
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20050019905A publication Critical patent/KR20050019905A/ko
Application granted granted Critical
Publication of KR101001346B1 publication Critical patent/KR101001346B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

하드마스크 적층체가 도핑된 비정질 탄소(22)와 비도핑된 비정질 탄소(20)가 교대로 반복된 층들로 구성된다. 비도핑된 비정질 탄소층(20)은 완충층으로 작용하여 도핑된 비정질 탄소층(22) 내부의 압축 응력의 효과를 억제하여 박리를 방지한다. 상기 적층체는 상부에 캡핑층(12)이 구비된다. 상기 캡핑층(12) 하부의 층은 포토리지스트 오염을 줄이기 위하여 비도핑된 비정질 탄소로 형성하는 것이 바람직하다. 대안적인 다른 하드마스크 적층체는 캡핑 물질(42)과 비정질 탄소(40)가 교대로 반복된 층들로 구성된다. 상기 비정질 탄소층(40)은 도핑되거나 도핑되지 않을 수 있다. 상기 캡핑층(42)은 완충층으로 작용하여 상기 비정질 탄소층(40) 내의 압축 응력의 효과를 억제하고 박리를 방지한다. 상기 적층체의 상부층은 캡핑층(42)으로 형성된다. 상기 상부층의 하부층은 포토리지스트 오염을 줄이기 위하여 비도핑된 비정질 탄소(40)가 바람직하다. 상기 하드마스크 적층체의 최하층은 애싱 공정으로 하부 물질로부터 상기 하드마스크 적층체를 제거하기 용이하도록 비정질 탄소(40)로 형성하는 것이 바람직하다.

Description

반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염 저감 방법{METHOD FOR REDUCING PATTERN DEFORMATION AND PHOTORESIST POISONING IN SEMICONDUCTOR DEVICE FABRICATION}
본 발명은 반도체 제조 방법에 관한 것으로, 특히 반도체 소자에서 패턴 변형을 제거하는 방법에 관한 것이다.
비정질 탄소 필름을 MOSFET 소자 패터닝용 하드마스크 적층체의 일부로 이용하는 기술은 패터닝이 용이하고 기존의 실리콘산화물, 실리콘질화물 및 실리콘산화질화물 등의 캡핑 내지 보호 물질에 비하여 선택성(selectivity)이 우수하여 유리한 기술로 알려져 왔다. 도 1은 MOSFET 제조에 사용되는 비정질 탄소 필름을 포함하는 구조를 보여주고 있다. 이 구조에서 기판(2)은 MOSFET의 소스/드레인 영역을 경계짓는 필드 산화물(4)을 포함한다. 상기 기판에 SiO2와 같은 게이트 절연층(6)이 형성된다. 도핑된 폴리실리콘과 같은 게이트 전도층(8)이 상기 기판에 형성되며 패터닝되어 MOSFET의 게이트 라인을 형성한다. 상기 게이트 전도층(8) 위로는 비정질 탄소 필름(10)과 SiON과 같은 캡핑(capping)층(12)을 포함하는 하드마스크 적층체가 형성된다. 제조 과정 중에, 제1식각으로 포토리지스트 마스크 패턴이 상기 SiON층으로 전사되며, 제2식각으로 상기 SiON 마스크 패턴이 상기 비정질 탄소 필름으로 전사되고 제3식각으로 상기 게이트 전도층 표면에서 산화물이 제거되며, 다음으로 제4식각을 수행하여 상기 SiON 및 비정질 탄소 패턴을 하드마스크로 이용하여 하부의 상기 게이트 전도층을 식각한다.
도 1의 구조에 있어서 한 가지 문제점은 상기 비정질 탄소층이 상기 폴리실리콘 게이트 전도층의 식각 중에 상기 폴리실리콘에 대하여 상대적으로 낮은 선택성을 가진다는 것이며, 그 결과 상기 비정질 탄소 또한 폴리실리콘 식각 과정에서 식각되어 전사되는 패턴의 품질이 저하된다. 이러한 문제점에 대한 제안될 수 있는 한가지 해결 방법으로서 비정질 탄소에 질소를 도핑하여 폴리실리콘에 대한 선택성을 향상시키는 방안이 있다.
그러나, 질소 도핑 방법은 소자 치수가 작아짐에 따라 심각해지는 또 다른 문제점을 낳는다. 한 가지 문제점은 비정질 탄소 필름으로부터 질소가 포토리지스트의 오염을 야기한다는 것이다. SiON 증착 중에 SiON 캡핑층에 불규칙적으로 발생하는 핀홀에 의하여 오염이 발생한다. 상기 핀홀은 SiON층에 부분적으로 혹은 전반적으로 확장되어 질소 도판트를 비정질 탄소 필름으로부터 포토리지스트로 확산시킨다. 오염된 포토리지스트는 종래의 현상 기술로는 제거하기 어려우며 따라서 오염된 포토리지스트는 포토리지스트 마스크의 품질을 떨어뜨린다. SiON 캡핑층이 점점 얇아짐에 따라 상기 오염 문제는 점점 심각해진다.
비정질 탄소의 두번째 문제점은 식각된 비정질 탄소가 하부의 폴리실리콘으로부터 박리되는 것이다. 도 2a 및 2b는 이 문제점에 대하여 도시하고 있다. 도 2a 는 패턴된 비정절 탄소 라인의 평면도이다. 상기 라인은 비정질 탄소, 폴리실리콘 및 SiON의 열팽창계수 차이에 기인하는 압축력(16)을 받게 된다. 상기 라인의 폭이 길이에 비하여 줄어듦에 따라 상기 라인의 길이 방향으로의 압축력이 폭 방향 보다 더욱 현저하게 된다. 상기 비정질 탄소 라인 상부에 SiON 층이 있는 한, 상기 압축력이 상기 라인을 변형시키지는 않는다. 그러나, 통상적인 제조 과정에서 비정질 탄소를 패터닝하기 전에 폴리실리콘층으로부터 산화물을 제거하는 식각 공정을 수행하게 되며, 이러한 식각은 통상 비정질 탄소 라인 위에 있는 SiON의 대부분 또는 전부를 제거한다. 이때, 비정질 탄소의 내부 압축력은 더이상 견디지 못하게 되고, 비정질 탄소가 하부의 폴리실리콘으로부터 박리되며, 도 2b에 도시된 바와 같이 "비틀림(squiggle)" 패턴을 형성하여 상기 라인의 길이를 늘려 압축 응력을 경감시킨다. 이러한 패턴은 후속 식각 공정에서 폴리실리콘에도 재현될 수 있으며, 그 결과 게이트 라인을 변형시킨다. 이러한 문제점은 질소 도핑에 의해 심각성이 증대된다.
결국, 패턴 변형 및 포토리지스트 오염을 감소시키면서 질소 도핑된 비정질 탄소가 바람직한 선택적 식각 특성을 유지할 수 있게 하는 방법이 요구된다.
본 발명의 목적은 반도체 소자 제조 공정에 있어서 패턴 변형을 감소시키는 것이다.
본 발명의 제1실시예에 따르면, 하드마스크 적층체가 도핑된 비정질 탄소와 비도핑된 비정질 탄소가 교대로 반복된 층들로 구성된다. 비도핑된 비정질 탄소층 은 완충층으로 작용하여 도핑된 비정질 탄소층 내부의 압축 응력의 효과를 억제하여 박리를 방지한다. 상기 적층체는 상부에 캡핑층이 구비된다. 상기 캡핑층 하부의 층은 포토리지스트 오염을 줄이기 위하여 비도핑된 비정질 탄소로 형성하는 것이 바람직하다.
본 발명의 제2실시예에 따르면, 하드마스크 적층체가 캡핑 물질과 비정질 탄소가 교대로 반복된 층들로 구성된다. 상기 비정질 탄소층은 도핑되거나 도핑되지 않을 수 있다. 상기 캡핑층은 완충층으로 작용하여 상기 비정질 탄소층 내의 압축 응력의 효과를 억제하고 박리를 방지한다. 상기 적층체의 상부층은 캡핑층으로 형성된다. 상기 캡핑층의 하부층은 포토리지스트 오염을 줄이기 위하여 비도핑된 비정질 탄소가 바람직하다. 상기 하드마스크 적층체의 최하층은 애싱 공정으로 하부 물질로부터 상기 하드마스크 적층체를 제거하기 용이하도록 비정질 탄소로 형성하는 것이 바람직하다.
이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명한다.
도 1은 비정질 탄소층을 이용한 반도체 소자 제조 공정 중에 형성된 구조물을 도시한다.
도 2a 및 2b는 도핑된 비정질 탄소 라인의 변형을 보여한다.
도 3a 및 3b는 본 발명의 제1실시예에 따른 반도체 소자의 제조 공정 중에 형성된 구조물을 도시한다.
도 4는 상기 제1실시예 및 기타 대안적인 실시예를 포괄하는 공정 순서를 도 시한다.
도 5는 본 발명의 제2실시예에 따른 반도체 소자의 제조 공정 중에 형성된 구조물을 도시한다.
도 6은 상기 제2실시예 및 기타 대안적인 실시예를 포괄하는 공정 순서를 도시한다.
도 3a 및 3b는 본 발명의 바람직한 제1실시예에 따라 형성된 구조를 도시하고 있다.
도 3a에 도시한 구조에서 반도체 기판(2)은 MOSFET의 소스/드레인 영역을 경계짓는 필드 산화물(4)을 포함한다. 상기 기판에 SiO2와 같은 게이트 절연층(6)과 도핑된 폴리실리콘과 같은 게이트 전도층(8)이 상기 기판 위에 형성되며, 패터닝되어 MOSFET의 게이트 라인을 형성하게 된다. 상기 게이트 전도층(8) 위로는 하부의 폴리실리콘과 접촉된 비정질 탄소 부분을 포함하는 하드마스크 적층체가 형성된다. 상기 비정질 탄소 부분은 폴리실리콘과 비교하여 식각 선택성을 향상시키기 위하여 질소 등의 도판트를 함유하고 있는 불연속적으로 반복되는 도핑된 비정질 탄소층(22)과 식각 선택성 향상 도판트를 전혀 함유하고 있지 않는 비도핑 비정질 탄소층(20)으로 구성된다. 상기 하드마스크 적층체의 비정질 탄소 부분 위로는 SiON, 실리콘 산화물, 또는 실리콘 질화물 등의 캡핑층(12)이 형성되며, 이 캡핑층(12) 상에는 게이트 라인의 패턴을 정의하기 위한 포토리지스트 마스크(14)가 형성된다. 상기 하드마스크 적층체의 전체 높이는 약 500 옹스트롬인 것이 바람직하다.
도 3a에 도시된 구조는 비도핑 비정질 탄소층(20)과 하나 이상의 도핑된 탄소층(22)을 결합하여 사용한다는 점에서 도 1에 도시된 구조와 차이가 있다. 비도핑 층(20)은 완충층으로 작용하여 도핑층(22) 내의 압축 응력을 억제하여 박리를 방지한다. 본 실시예에 따른 도핑층 및 비도핑층의 개수 및 순서는 다양하게 변형될 수 있지만, 최상층에 비도핑 비정질 탄소층이 캡핑층과 접촉되도록 하여 포토리지스트 오염을 줄이고, 최하층에 비도핑 탄소층이 하부의 폴리실리콘 상에 형성되도록 하여 박리에 대한 저항성을 증가시키도록 하는 것이 바람직하다.
도 3a에 도시된 비정질 탄소 부분의 층들은 독립적인 제조 단계에서 불연속적인 층으로 형성된다. 도 3a의 구조와는 달리, 도핑층 및 비도핑층이 도 3b에 도시된 바와 같이 연속적인 층으로 형성될 수도 있다. 이러한 구조는 단일 연속 증착 공정에서 도판트 소스 가스의 유량을 변화시켜 하드마스크의 비정질 탄소 부분에 도판트 프로파일이 원하는 구배(gradient)를 갖도록 함으로써 형성할 수 있다.
도 3a 및 3b의 구조 이외에 또 다른 대안으로서 다수의 도핑 및 비도핑 비정질 탄소층을 도입하고 각 층들을 다른 순서로 배열하는 것도 가능하며, 예를 들어 하부 폴리실리콘 상에 도핑층을 형성하는 것도 가능할 것이다. 또 다른 실시예로서, 실리콘 과다 산화물, 실리콘 과다 질화물 등의 대안적인 캡핑물질을 사용하는 것도 가능하다. 또 다른 실시예로서, 상기 하드마스크 구조를 후속적으로 식각되는 다른 물질, 예를 들어 금속 배선층 위에 형성하거나, 혹은 상기 하드마스크 구조를 컨택 또는 상호 컨택 등과 같이 다른 타입의 패턴 구조물을 형성하는데 이용할 수 도 있다.
도 4는 전술한 첫번째 실시예, 대안적인 그 다음 실시예, 및 언급되지 않은 또 다른 실시예를 포괄하는 반도체 소자의 제조 공정을 도시하고 있다. 최초에, 상부층을 포함하는 기판을 준비한다(30). 그 다음, 하드마스크 적층체를 상기 상부층 상에 형성한다(32). 상기 하드마스크 적층체는 상기 상부층과 접촉하는 비정질 탄소 부분과 상기 비정질 탄소 부분 상의 캡핑층으로 구성된다. 상기 비정질 탄소 부분은 상기 상부층에 대하여 식각 선택성을 향상시키는 도판트를 함유하는 도핑된 비정질 탄소층과 도판트를 함유하지 않는 비도핑 탄소층이 교대로 반복되어 있다.
그 다음, 상기 하드마스크 위에 포토리지스트 마스크를 형성한다(34). 상기 포리지스트 마스크는 포토리지스트 트리밍 공정으로 트리밍(trim)될 수도 있다. 그 다음, 상기 포토리지스트 마스크를 최초 식각 마스크로 이용하여 상기 하드마스크 적층체를 식각하여 하부 물질을 패터닝하기 위한 하드마스크를 형성한다(36).
상기 상부층을 패터닝하고 상기 하드마스크를 제거하는 추가 공정이 수행될 수도 있다.
도 5는 본 발명의 제2실시예에 따른 구조를 도시한다.
도 5에 도시한 구조에서 반도체 기판(2)은 MOSFET의 소스/드레인 영역을 경계짓는 필드 산화물(4)을 포함한다. 상기 기판에 실리콘 산화물과 같은 게이트 절연층(6)과 도핑된 폴리실리콘과 같은 게이트 전도층(8)을 상기 기판 위에 형성한다. 상기 게이트 전도층(8)은 패터닝되어 MOSFET의 게이트 라인을 형성하게 된다. 상기 게이트 전도층(8) 위로는 비정질 탄소층(40)과 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산화질화물 등의 캡핑 물질층(42)이 교대로 형성된 하드마스크 적층체가 형성된다. 상기 비정질 탄소층은 도핑되거나 도핑되지 않을 수 있다. 상부 캡핑층 상에는 게이트 라인의 패턴을 정의하기 위한 포토리지스트 마스크(14)가 형성된다. 상기 하드마스크 적층체의 전체 높이는 약 500 옹스트롬인 것이 바람직하다. 캡핑층의 높이는 20 - 50 옹스트롬인 것이 바람직하다.
도 5에 도시된 구조는 다층의 비정질 탄소층과 캡핑층이 교대로 반복된 구조를 사용한다는 점에서 도 1에 도시된 구조와 차이가 있다. 상기 캡핑 물질층(42)은 완충층으로 작용하여 비정질 탄소층(40) 내의 압축 응력을 억제하여 박리를 방지한다. 캡핑 물질층의 최상층은 통상 하드마스크 식각 과정에서 제거되는 반면, 캡핑 물질층의 나머지 층(들)은 잔류하여 박리를 방지하게 된다.
하드마스크의 최하층을 비정질 탄소층으로 형성하여 애싱(ashing) 공정에 의하여 상기 하드마스크가 제거될 수 있도록 하고, 하드마스크의 최상층은 비도핑 탄소층으로 형성하여 포토리지스트 오염을 감소시키는 것이 바람직하다. 그러나, 다른 실시예로서, 상기 최하층을 캡핑 물질로 형성하고, 상기 최상층의 비정질 탄소층에 도핑을 실시할 수도 있다. 또 다른 실시예로서, 실리콘 과다 산화물, 실리콘 과다 질화물 등의 캡핑 물질을 이용할 수도 있고, 동일 하드마스크 적층체에 다른 캡핑 물질을 다른 층에 이용할 수도 있을 것이다. 또한, 또 다른 실시예로서, 상기 하드마스크 구조물을 금속 배선층과 같이 후속적으로 식각될 물질 위에 형성할 수도 있으며, 컨택 또는 상호 컨택 등과 같이 다른 타입의 패턴 구조물을 형성하는데 이용할 수도 있다.
도 6은 전술한 제2실시예, 대안적인 그 다음 실시예, 및 언급되지 않은 또 다른 실시예를 포괄하는 반도체 소자의 제조 공정을 도시하고 있다. 최초에, 상부층을 포함하는 기판을 준비한다(50). 그 다음, 하드마스크 적층체를 상기 상부층 상에 형성한다(52). 상기 하드마스크 적층체는 캡핑층 및 비정질 탄소층이 교대로 반복된 층으로 구성되며, 적어도 상부의 제1캡핑층, 상기 제1캡핑층 하부의 비정질 탄소층, 및 상기 비정질 탄소층 하부의 제2캡핑층을 포함한다. 그 다음, 상기 하드마스크 위에 포토리지스트 마스크를 형성한다(54). 상기 포리지스트 마스크는 포토리지스트 트리밍 공정으로 트리밍될 수도 있다. 그 다음, 상기 포토리지스트 마스크를 최초 식각 마스크로 이용하여 상기 하드마스크 적층체를 식각하여 하부의 상부층을 패터닝하기 위한 하드마스크를 형성한다(56).
또한, 상기 하부의 상부층을 패터닝하고 상기 하드마스크를 제거하는 추가 공정이 수행될 수도 있다.
본 발명은 바람직한 실시예를 참고하여 설명하였지만, 당업자라면 전술한 내용으로부터 장치의 변형, 동작 조건 및 구조의 변형 등이 가능하며, 이러한 변형이 후술하는 청구범위에 의해서만 제한되는 본 발명의 기술적 사상의 범주 내에 포함됨을 이해할 수 있을 것이다.

Claims (16)

  1. 반도체 소자 제조방법으로서:
    상부 물질층(8)을 포함하는 기판을 제공하는 단계와,
    상기 상부 물질층 상에 하드마스크 적층체를 형성하는 단계와, 여기서 상기 하드마스크 적층체는 상기 상부 물질층(8)과 접촉하는 비정질 탄소 부분 및 상기 비정질 탄소 부분 상에 형성되는 캡핑층(12)을 포함하고, 상기 비정질 탄소 부분은 상기 상부 물질층에 대한 식각 선택성을 향상시키는 도판트를 함유하는 도핑된 비정질 탄소층(22)과 상기 도판트를 함유하지 않는 비도핑된 비정질 탄소층(20)이 교대로 반복된 층들을 포함하며,
    상기 하드마스크 적층체 위에 포토리지스트 마스크(14)를 형성하는 단계와, 그리고
    상기 포토리지스트 마스크(14)를 최초의 식각 마스크로 이용하여 상기 하드마스크 적층체를 식각하여 상기 상부 물질층을 패터닝하기 위한 하드마스크를 형성하는 단계를 포함하는 반도체 소자 제조방법.
  2. 제1항에 있어서, 상기 방법은:
    상기 하드마스크를 이용하여 상기 상부 물질층(8)을 패터닝하는 단계와;
    애싱 공정으로 상기 하드마스크를 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자 제조방법.
  3. 제1항에 있어서, 상기 포토리지스트 마스크를 형성하는 단계는
    상기 캡핑층 위에 포토리지스트 패턴을 형성하는 단계와, 그리고
    상기 포토리지스트 패턴을 트리밍(trimming)하는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조방법.
  4. 상부 물질층(8)을 포함하는 기판과, 그리고
    상기 상부 물질층 상에 형성된 하드마스크 적층체를 포함하며, 상기 하드마스크 적층체는 상기 상부 물질층과 접촉하는 비정질 탄소 부분 및 상기 비정질 탄소 부분 상에 형성되는 캡핑층을 포함하고, 상기 비정질 탄소 부분은 상기 상부 물질층에 대하여 식각 선택성을 향상시키는 도판트를 함유하는 도핑된 비정질 탄소층(22)과 상기 도판트를 함유하지 않는 비도핑된 비정질 탄소층(20)이 교대로 반복된 층들을 포함하는 것을 특징으로 하는 반도체 제조 공정 중에 형성되는 구조물.
  5. 제4항에 있어서, 상기 하드마스크 적층체의 비정질 탄소 부분은
    상기 상부 물질층(8) 상에 형성된 비도핑된 비정질 탄소(20)의 최하층과,
    상기 캡핑층(12)과 접촉하여 형성된 비도핑된 비정질 탄소(20)의 최상층과, 그리고
    상기 비도핑된 비정질 탄소의 최상층 및 최하층 사이에 적어도 한 층의 도핑된 비정질 탄소(22)를 포함하는 것을 특징으로 하는 반도체 제조 공정 중에 형성되는 구조물.
  6. 상부 물질층(8)을 포함하는 기판을 제공하는 단계와,
    상기 상부 물질층(8) 상에 하드마스크 적층체를 형성하는 단계와, 여기서 상기 하드마스크 적층체는 캡핑 물질(42)과 비정질 탄소(40)가 교대로 반복된 층을 포함하고, 적어도 상부 캡핑 물질층(42), 하부 캡핑 물질층(42) 및 상기 상부 및 하부 캡핑 물질층 사이에 형성된 적어도 하나의 비정질 탄소층(40)을 포함하며,
    상기 하드마스크 적층체 위에 포토리지스트 마스크(14)를 형성하는 단계와, 그리고
    상기 포토리지스트 마스크(14)를 최초의 식각 마스크로 이용하여 상기 하드마스크 적층체를 식각하여, 상기 상부 물질층(8)을 패터닝하기 위한 하드마스크를 형성하는 단계를 포함하는 반도체 소자 제조방법.
  7. 제6항에 있어서, 상기 하드마스크 적층체는 상기 상부 물질층(8) 상에 형성되는 하부 비정질 탄소층(40)을 더 포함하며, 상기 하부 캡핑 물질층(42)은 상기 하부 비정질 탄소층(40) 상에 형성되고,
    상기 제조방법은,
    상기 하드마스크를 이용하여 상기 상부 물질층(8)을 패터닝하는 단계와, 그리고
    애싱 공정으로 상기 상부 물질층(8)으로부터 상기 하드마스크를 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조방법.
  8. 제7항에 있어서, 상기 하부 비정질 탄소층(40)은 상기 상부 물질층(8)에 대하여 식각 선택성을 향상시키는 도판트를 함유하는 것을 특징으로 하는 반도체 소자 제조방법.
  9. 상부 물질층(8)을 포함하는 기판과,
    상기 상부 물질층(8) 상에 형성된 하드마스크 적층체를 포함하며, 상기 하드마스크 적층체는 캡핑 물질(42)과 비정질 탄소(40)가 교대로 반복된 층을 포함하고, 적어도 상부 캡핑 물질층(42), 하부 캡핑 물질층(42) 및 상기 상부 및 하부 캡핑 물질층 사이에 형성된 적어도 하나의 비정질 탄소층(40)을 포함하는 것을 특징으로 하는 반도체 제조 공정 중에 형성되는 구조물.
  10. 제9항에 있어서, 상기 하드마스크 적층체는 상기 상부 물질층(8) 상에 형성되는 하부 비정질 탄소층(40)을 더 포함하며, 상기 하부 캡핑 물질층(42)은 상기 하부 비정질 탄소층(40) 상에 형성되고, 그리고
    상기 하부 비정질 탄소층(40)은 상기 상부 물질층(8)에 대하여 식각 선택성을 향상시키는 도판트를 함유하는 반도체 제조 공정 중에 형성되는 구조물.
  11. 제5항에 있어서, 상기 하드마스크 적층체의 비정질 탄소 부분은,
    상기 비도핑된 비정질 탄소(20)의 최하층과 상기 비도핑된 비정질 탄소(20)의 최상층 사이에 적어도 2 개의 도핑된 비정질 탄소 층들과;
    상기 적어도 2 개의 도핑된 비정질 탄소 층들 사이에 적어도 하나의 비도핑된 비정질 탄소 층을 포함하는 것을 특징으로 하는 반도체 제조 공정 중에 형성되는 구조물.
  12. 제1항에 있어서,
    상기 도핑된 비정질 탄소층(22)과 상기 비도핑된 비정질 탄소층(20)은 불연속적인 층들인 것을 특징으로 하는 반도체 소자 제조방법.
  13. 제1항에 있어서,
    상기 도핑된 비정질 탄소층(22)과 상기 비도핑된 비정질 탄소층(20)은 연속적인 층들인 것을 특징으로 하는 반도체 소자 제조방법.
  14. 제1항에 있어서,
    상기 기판은 반도체 기판을 포함하고,
    상기 상부 물질층은 상기 기판 상에 형성된 게이트 절연 물질 위에 형성되는 게이트 전도성 물질층인 것을 특징으로 하는 반도체 소자 제조방법.
  15. 제6항에 있어서, 상기 포토리지스트 마스크를 형성하는 단계는,
    상기 하드마스크 적층체 위에 포토리지스트 패턴을 형성하는 단계와;
    상기 포토리지스트 패턴을 트리밍하는 단계를 포함하는 것을 특징으로 하는 반도체 소자 제조방법.
  16. 제6항에 있어서,
    상기 기판은 반도체 기판을 포함하고,
    상기 상부 물질층은 상기 기판 상에 형성된 게이트 절연 물질 위에 형성되는 게이트 전도성 물질층인 것을 특징으로 하는 반도체 소자 제조방법.
KR1020057000968A 2002-07-31 2003-07-29 반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염저감 방법 KR101001346B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US40045302P 2002-07-31 2002-07-31
US60/400,453 2002-07-31
US10/334,392 2002-12-30
US10/334,392 US6764949B2 (en) 2002-07-31 2002-12-30 Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
PCT/US2003/023746 WO2004012246A2 (en) 2002-07-31 2003-07-29 Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication

Publications (2)

Publication Number Publication Date
KR20050019905A KR20050019905A (ko) 2005-03-03
KR101001346B1 true KR101001346B1 (ko) 2010-12-14

Family

ID=31190859

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057000968A KR101001346B1 (ko) 2002-07-31 2003-07-29 반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염저감 방법

Country Status (9)

Country Link
US (1) US6764949B2 (ko)
EP (1) EP1576657B1 (ko)
JP (1) JP4599578B2 (ko)
KR (1) KR101001346B1 (ko)
CN (1) CN100341114C (ko)
AU (1) AU2003254254A1 (ko)
DE (1) DE60330998D1 (ko)
TW (1) TWI307917B (ko)
WO (1) WO2004012246A2 (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7084071B1 (en) * 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6855627B1 (en) * 2002-12-04 2005-02-15 Advanced Micro Devices, Inc. Method of using amorphous carbon to prevent resist poisoning
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US6838347B1 (en) * 2003-09-23 2005-01-04 International Business Machines Corporation Method for reducing line edge roughness of oxide material using chemical oxide removal
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7172969B2 (en) * 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
JP2007149768A (ja) * 2005-11-24 2007-06-14 Nec Electronics Corp 半導体装置の製造方法
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100772706B1 (ko) 2006-09-28 2007-11-02 주식회사 하이닉스반도체 반도체 소자의 콘택홀 제조 방법
KR100834396B1 (ko) * 2006-12-27 2008-06-04 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20090098701A1 (en) * 2007-10-15 2009-04-16 Jurgen Faul Method of manufacturing an integrated circuit
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8466044B2 (en) * 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8172646B2 (en) 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
TWI419201B (zh) * 2009-04-27 2013-12-11 Macronix Int Co Ltd 圖案化的方法
DE102009046259B4 (de) * 2009-10-30 2019-10-10 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
KR20130075158A (ko) 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
KR102477091B1 (ko) * 2015-07-24 2022-12-13 삼성전자주식회사 2차원 물질 하드마스크와 그 제조방법 및 하드 마스크를 이용한 물질층 패턴 형성방법
US9806161B1 (en) * 2016-04-07 2017-10-31 Globalfoundries Inc. Integrated circuit structure having thin gate dielectric device and thick gate dielectric device
CN108695162B (zh) 2017-04-12 2021-04-09 联华电子股份有限公司 鳍状结构的制造方法
US10345702B2 (en) 2017-08-24 2019-07-09 International Business Machines Corporation Polymer brushes for extreme ultraviolet photolithography
US10522750B2 (en) 2018-02-19 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356824A (en) * 1992-02-26 1994-10-18 France Telecom Establissement Autonome De Droit Public Process for the production of a thin film transistor having a double gate and an optical mask
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0517627A1 (en) * 1991-06-07 1992-12-09 Eastman Kodak Company Deposited carbon mask for dry etch processing of Si
US5656128A (en) * 1993-03-26 1997-08-12 Fujitsu Limited Reduction of reflection by amorphous carbon
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
JP3047832B2 (ja) * 1996-10-03 2000-06-05 日本電気株式会社 半導体装置の製造方法
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
JP2000058830A (ja) * 1998-05-28 2000-02-25 Texas Instr Inc <Ti> 反射防止構造体とその製造法
US6664639B2 (en) * 2000-12-22 2003-12-16 Matrix Semiconductor, Inc. Contact and via structure and method of fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356824A (en) * 1992-02-26 1994-10-18 France Telecom Establissement Autonome De Droit Public Process for the production of a thin film transistor having a double gate and an optical mask
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack

Also Published As

Publication number Publication date
KR20050019905A (ko) 2005-03-03
WO2004012246A3 (en) 2004-05-13
AU2003254254A1 (en) 2004-02-16
WO2004012246A2 (en) 2004-02-05
DE60330998D1 (de) 2010-03-04
CN1672243A (zh) 2005-09-21
CN100341114C (zh) 2007-10-03
TW200405414A (en) 2004-04-01
JP4599578B2 (ja) 2010-12-15
TWI307917B (en) 2009-03-21
EP1576657A2 (en) 2005-09-21
US20040023475A1 (en) 2004-02-05
JP2005535119A (ja) 2005-11-17
EP1576657B1 (en) 2010-01-13
US6764949B2 (en) 2004-07-20

Similar Documents

Publication Publication Date Title
KR101001346B1 (ko) 반도체 소자 제조에서 패턴 변형 및 포토리지스트 오염저감 방법
US7176137B2 (en) Method for multiple spacer width control
US6803313B2 (en) Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6750127B1 (en) Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
JPH0661193A (ja) 半導体ウエーハを処理する方法
CN109309091A (zh) 图案化方法
KR100190261B1 (ko) 집적회로의 게이트 스택 제조 방법
JP5100198B2 (ja) 半導体素子の微細パターンの形成方法
JP2000077625A5 (ko)
KR100291513B1 (ko) 반도체 소자의 제조방법
KR950015610A (ko) 집적 회로 제조 방법
JP4989817B2 (ja) 半導体装置およびその製造方法
US6764947B1 (en) Method for reducing gate line deformation and reducing gate line widths in semiconductor devices
KR100875653B1 (ko) 반도체 소자의 미세 패턴 형성 방법
JP2708729B2 (ja) 半導体素子のコンタクトホール形成方法
KR100367406B1 (ko) 고집적 반도체 소자의 게이트 형성방법
JP2002110967A (ja) 半導体装置の製造方法および半導体装置
KR100447989B1 (ko) 반도체소자의게이트전극형성방법
KR101026371B1 (ko) 게이트 형성 방법
KR100464950B1 (ko) 수직 형상의 스페이서를 구비하는 반도체 소자 제조 방법
KR20050046428A (ko) 듀얼 다마신 공정을 이용한 반도체 소자의 형성 방법
KR100390458B1 (ko) 반도체소자의 커패시터 제조방법
US6979638B2 (en) Conducting wire and contact opening forming method for reducing photoresist thickness and via resistance
KR19980021221A (ko) 반도체 소자의 자기 정렬 콘택 형성방법
KR20100053896A (ko) 반도체 장치 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141126

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee