JP2005535119A - 半導体デバイス製造過程におけるパターンの変形とフォトマスクの汚染の抑制方法 - Google Patents

半導体デバイス製造過程におけるパターンの変形とフォトマスクの汚染の抑制方法 Download PDF

Info

Publication number
JP2005535119A
JP2005535119A JP2004525039A JP2004525039A JP2005535119A JP 2005535119 A JP2005535119 A JP 2005535119A JP 2004525039 A JP2004525039 A JP 2004525039A JP 2004525039 A JP2004525039 A JP 2004525039A JP 2005535119 A JP2005535119 A JP 2005535119A
Authority
JP
Japan
Prior art keywords
amorphous carbon
layer
hard mask
upper layer
layer material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004525039A
Other languages
English (en)
Other versions
JP4599578B2 (ja
JP2005535119A5 (ja
Inventor
ジェイ. ボンサー ダグラス
ブイ. プラット マリーナ
ユ ヤン チ
エイ. ベル スコット
エイ. チャン ダリン
エイ. フィッシャー フィリップ
エフ. ライアンズ クリストファー
エス. チャン マーク
ガオ ペイ−ユアン
アイ. ライト マリリン
ユー ルー
ダクシナ−マーシー スリカンテスワラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2005535119A publication Critical patent/JP2005535119A/ja
Publication of JP2005535119A5 publication Critical patent/JP2005535119A5/ja
Application granted granted Critical
Publication of JP4599578B2 publication Critical patent/JP4599578B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

ハードマスクスタックは、ドーピングされたアモルファスカーボン(22)とドーピングされていないアモルファスカーボン(20)とが交互に形成されるようになっている。ドーピングされていないアモルファスカーボン層(20)は、ドーピングされたアモルファスカーボン層(22)内の圧縮力の効力を抑制して剥離を防ぐバッファ層として作用する。このスタックには、トップキャッピング層(12)が配されている。キャッピング層(12)の下層は、好適には、ドーピングされていないアモルファスカーボンが配され、フォトレジストによる汚染を抑制するようになっている。交代的なアモルファスカーボン層(40)は、ドーピングされていてもいなくても良い。キャッピング材層(42)は、アモルファスカーボン層(22)内の圧縮力の効力を抑制して剥離を防ぐバッファ層として作用する。スタックのトップ層には、キャッピング材(42)が配されている。その下層には、好悪的には、ドーピングされていないアモルファスカーボン層(40)が設けられ、フォトレジストによる汚染を抑制している。ハードマスクスタックの最下層は、好適には、アモルファスカーボン(40)であり、下層材(8)からハードマスクスタックを灰化により除去することを容易としている。

Description

本発明は半導体製造に関し、特に、半導体デバイスにおけるパターンの変形を阻止する方法に関する。
アモルファスカーボンフィルムを、酸化膜半導体電界効果トランジスター(以下、MOSFET)パターン作製用ハードマスクスタックの一部として使うことは、パターンの加工がしやすいことや、一般的なキャッピング物質あるいは保護材として使われている酸化ケイ素、窒化ケイ素、酸化窒化ケイ素などに対するエッチング選択性が高いことなどから、有益であることが知られている。図1には、アモルファスカーボンを含んでいるMOSFETの構造を示す。この構造は、MOSFETのドレイン及びソース領域を形成する酸化された領域4を有する、半導体基板2を含んでいる。二酸化ケイ素などからなるゲート絶縁膜6が、基板2上に形成されている。ドーピングされたポリシリコンなどからなるゲート導電膜8が基板2上に形成されており、MOSFETのゲートラインを形成するようパターニングされることになる。ゲート導電膜8上には、ハードマスクスタックが形成されており、このハードマスクスタックは、アモルファスカーボンの層10と、SiON等のキャッピング材層12を有している。SiONキャッピング材層12上には、ゲートのパターンを決定するフォトレジストマスク14が形成されている。加工プロセスでは、まず、最初のエッチングでフォトレジストマスクのパターンをSiON層に転写する。2段階目のエッチングで、SiONマスクのパターンをアモルファスカーボンに転写する。3段階目のエッチングで、ゲート導電層の表面から酸化物を取り除く。さらに、その後のエッチングで、SiONとアモルファスカーボンをハードマスクスタックとして、導電性のゲート層をエッチングする。
図1の構造における一つの問題は、ポリシリコンエッチング時におけるポリシリコンゲート導電層に対するアモルファスカーボン材のエッチング選択性が比較的低いことである。その結果、ポリシリコンのエッチング中にアモルファスカーボンもエッチングされてしまい、転写するパターンの質を下げてしまう。この問題の打開策として、アモルファスカーボンに窒素をドーピングすることで、ポリシリコンに対するエッチング選択性を強めるという方法がある。
しかしながら、窒素をドーピングすると、新たな問題が生じ、この問題は、デバイスの寸法が小さくなるにつれて一層深刻なものとなる。その一つとして、アモルファスカーボン層からの窒素によってフォトレジストマスクが汚染されてしまうという問題が挙げられる。この汚染は、SiONデポジションの際にランダムに生じる、SiON層のピンホールによって引き起こされる。このピンホールはSiONの層内に、部分的に穴を開けるか、あるいはSiON層を完全に貫通している。そのため、アモルファスカーボンにドーピングした窒素がフォトマスク中に拡散してしまう。汚染されたフォトマスクは現行の技術を用いても取り除くことは難しいため、フォトマスクとしての質が下がってしまう。この汚染の問題は、SiONの層が薄くなればなるほど深刻化する。
第2の問題は、エッチングしたアモルファスカーボンが、その下層のポリシリコンから剥離してしまうことである。図2aと図2bにその様子を示す。図2aは、パターニングしたアモルファスカーボンのラインの平面図である。このラインは、アモルファスカーボン、ポリシリコン、SiONが有する熱膨張係数の違いから生じる圧縮力16を受けている。ラインの幅が、長さに比べて短くなればなるほど、ラインの長さ方向の圧縮力は、幅方向の圧縮力に比べて非常に大きくなる。SiON最上層がアモルファスカーボンのラインの上にある限り、この圧力がラインを変形させることはない。しかしながら、一般的な加工過程では、アモルファスカーボンをパターニングした後にポリシリコンの層から酸化物を除去するためのエッチングが行なわれ、このエッチングでは通常、アモルファスカーボンの上にあるSiONのほとんど、或いは全てが除去されてしまう。こうなってしまうと、アモルファスカーボン内部圧縮力は何ら制限を受けないようになってアモルファスカーボンが下層のポリシリコンから剥離し、さらに、図2bに示すように「くねった」形状になってしまう。このような形状となることで、実質的にラインが長くなり、圧縮力によるストレスが解放される。その後のエッチングでこのパターンはポリシリコンに複写され、結果として変形したゲートラインが作られてしまう。この問題は窒素のドーピングによって深刻になる。
ゆえに、窒素をドーピングしたアモルファスカーボンのエッチング選択性の特性を維持しながら、パターンの変形とフォトマスクの汚染を減らすことのできる方法が必要とされている。
本発明の目的の一つは、半導体デバイスの製造におけるパターン変形を抑制することにある。
本発明の好適な一形態によれば、ハードマスクは、ドーピングされたアモルファスカーボンとドーピングされていないアモルファスカーボンとが交互に形成されるようになっている。ドーピングされていないアモルファスカーボン層は、ドーピングされたアモルファスカーボン層内の圧縮力の効力を抑制して剥離を防ぐバッファ層として作用する。このスタックには、トップキャッピング剤層が設けられている。このキャッピング材層の下方には、好適には、フォトレジストによる汚染を抑制するように、ドーピングされていないアモルファスカーボンが設けられる。
本発明の第2の実施形態によれば、ハードマスクスタックは、キャッピング材とアモルファスカーボンとが交互に形成された層を含むものとなっている。アモルファスカーボン層は、ドーピングされていてもいなくてもよい。キャッピング材層はアモルファスカーボン層内の圧縮力の効力を抑制して剥離を防ぐバッファ層として作用する。このキャッピング材層の下方には、好適には、フォトレジストによる汚染を抑制するように、ドーピングされていないアモルファスカーボンが設けられる。
ハードマスクの最下層は、好適には、灰化による下層材からのハードマスクの除去を容易にするように、アモルファスカーボンとなっている。
図3a及び図3bに、本発明における第一実施形態における、交互に層が形成された構造を示す。
図3aの構造は、MOSFETのドレイン及びソース領域を形成するフィールド酸化膜4を有する、半導体基板2を有している。例えば二酸化ケイ素のようなゲート絶縁層6と、例えばドーピングされたポリシリコンのようなゲート導電層8とが、基板上に形成されており、MOSFETのゲートラインとゲート絶縁層を形成するようパターニングされることになる。ゲート導電層8上には、下層のポリシリコンと接触して形成されたアモルファスカーボン部が形成されている。このアモルファスカーボンの部位は、ポリシリコンに対するエッチング選択性を高めるよう窒素などのドーパントを含んだ、ドーピングされたアモルファスカーボンからなる層22と、エッチング選択性を向上させるためのドーパントを持たないドーピングされていないアモルファスカーボンからなる層20と、のそれぞれ別個の層を含んでいる。ハードマスクスタックであるアモルファスカーボン上には、SiON、酸化ケイ素、又は窒化ケイ素などからなるキャッピング層12が形成されている。また、ゲートラインのパターンを決定するフォトレジストマスク14が、キャッピング層12の上に設けられている。ハードマスクスタック全体の高さは、約500Å程度が望ましい。
図3aの構造において図1と異なる点は、ドーピングされていないアモルファスカーボンの層20に、一つ、或いは多数のドーピングされたアモルファスカーボンの層22が接していることである。ドーピングされていない層20は、ドーピングされた層22が剥離しないよう、層22内部の圧縮力を抑制するための緩衝層として働く。この実施形態において、ドーピングされた層とされていない層の数と順序は任意のものとできるが、好適には、ドーピングされていないアモルファスカーボンの最上層が、フォトレジストマスクの汚染を減らすようにキャッピング層に接しており、ドーピングされていないアモルファスカーボンの最下層が、剥離を防ぐように、ポリシリコンの層の上に形成される。
図3aに示されているアモルファスカーボンのそれぞれの層は、それぞれ別個のプロセスステップで形成される。図3aの他の構造として、図3bに示すように、ドーピングされた層とドーピングされていない層を連続して、つまりコンティニュアスに形成することもできる。このような構造は、ハードマスクのアモルファスカーボン部を通じて所望の濃度傾斜を有するドーパントのプロファイルが得られるように、単一の連続的デポジションプロセス中に、ドーパントソースガスのフローレートを変化させることで得ることができる。
さらに、図3a、図3bの構成に代えて、ドーピングされていない層とドーピングされている層が多数重なった構造を用いることもできる。この場合、これらの層の順番を変えてもよく、例えばドーピングされた層を下層のポリシリコン上に形成されるようにしてもよい。また、他の実施形態として、キャッピング層に過酸化ケイ素や過窒化ケイ素を用いることもできる。また、さらなる実施形態として、このようなハードマスクスタックは、金属配線の層など他のエッチングされる物質上に形成されてもよいし、接点やインターコネクトなどの、他のタイプのパターン構造を形成するために用いてもよい。
図4に、上記の第1実施形態における半導体デバイス製造方法のフローチャートを示す。それ以外の実施形態については明示的には記載していない。始めに、上層物質を有する基板を用意する(30)。次に、ハードマスクスタックを上層物質の上に形成する(32)。ハードマスクスタックは、上層物質に接触するアモルファスカーボン部と、アモルファスカーボン部の上に配されるキャッピング材層を含む。アモルファスカーボン部は、上層物質に対するエッチング選択性が高まるようにドーピングされたアモルファスカーボンと、ドーパントを含まないドーピングされていないアモルファスカーボンの層とが交互に形成されている。
次に、ハードマスクスタックの上にフォトレジストマスクを形成する(34)。フォトレジストマスクのマスクはフォトレジストトリミングプロセスによってトリミング。そして、フォトレジストマスクを最初のエッチマスクとして、ハードマスクスタックの下層物質(36)をパターニングしてハードマスクを形成するよう、エッチングする。
更に、上層のパターニングや、ハードマスクの除去等のプロセスを実行することも可能である。
図5に発明の第2実施形態における構造を示す。
図5の構造は、MOSFETのドレイン及びソース領域を形成する酸化された領域4を有する、半導体基板2を含んでいる。例えば二酸化ケイ素のようなゲート絶縁層6と、例えばドーピングされたポリシリコンのようなゲート導電層8が、基板上に形成されている。ゲート導電層8は、MOSFETのゲートラインを形成するようパターニングされる。ハードマスクスタック上には、ゲート導電層8が形成されており、このゲート導電層8は、アモルファスカーボンからなる層40と、SiON、酸化ケイ素、又は窒化ケイ素などからなるキャッピング材層42と、が交互に形成されたものとなっている。アモルファスカーボンは、ドーピングされていても、されていなくてもどちらでもよい。ゲートのパターンを形成するフォトレジストマスク14が、キャッピング材層上に設けられている。ハードマスクスタック全体の高さは、約500Å程度が望ましい。キャッピング材層の高さは、20〜50Åが望ましい。
図5の構造における図1と異なる点は、アモルファスカーボンの層とキャッピング層を交互に複数用いていることである。キャッピング材層42は、アモルファスカーボンの層40が剥離しないように、圧縮力を抑制するための緩衝層として働く。最上層にあるキャッピング材層はハードマスクスタックのエッチング中に除去され、残ったキャッピング層は剥離を防ぐ働きをする。
灰化によるハードマスクの除去を可能とするように、アモルファスカーボンの層をハードマスクスタックの最下層として形成し、また、ドーピングされていないアモルファスカーボンの層をハードマスクスタックの最上層としてフォトマスクの汚染を抑制することが望ましい。しかし、他の実施形態では、最下層がキャッピング層であったり、最上層がドーピングされているアモルファスカーボンの層であったり、ハードマスクスタックを構成するいくつかのキャッピング材層が異なる物質からできていてもよい。またさらに、このようなハードマスクスタックは、金属配線の層など他のエッチングされる物質上に形成されてもよいし、接点やインターコネクトなどの、他のタイプのパターン構造を形成するために用いてもよい。
図6に、上記の第2実施形態における半導体デバイス製造方法のフローチャートを示す。それ以外の実施形態については明示的には記載していない。始めに、上層物質を有する基板を用意する(50)。次に、ハードマスクスタックを上層物質の上に形成する(52)。ハードマスクスタックは、キャッピング層と、アモルファスカーボン層と、を含むとともに、キャッピング材の第1上層と、このキャッピング材の第1上層の下層に設けられたアモルファスカーボン層と、このアモルファスカーボン層の下層に設けられたキャッピング材の第2層と、を少なくとも含む。次に、フォトレジストマスクをハードマスクスタックの上に形成する(54)。フォトレジストマスクのマスクはトリミングによって形成してもよい。そして、フォトレジストマスクを最初のエッチマスクとして、ハードマスクスタックの下層にある上層材をパターニングするためのハードマスクを形成するようにエッチングを行う(56)。
更に、このような下層にある材料のパターニングや、ハードマスクスタックの除去等のプロセスを実行することも可能である。
以上、本発明を好適実施形態を用いて説明したが、当業者であれば、実装、動作条件及び構成において種々の変形が可能であり、これらは、添付したクレームによってのみ限定される本発明の趣旨及び範囲内のものであることが理解されよう。
従来例に係るアモルファスカーボンを用いた半導体デバイスの構造の説明図。 ドーピングされたアモルファスカーボンラインの変形の様子の説明図。 ドーピングされたアモルファスカーボンラインの変形の様子の説明図。 第1実施形態における半導体デバイスの構造の説明図。 第1実施形態における半導体デバイスの構造の説明図。 第1実施形態における動作のフローチャート。 第2実施形態における半導体デバイスの構造の説明図。 第2実施形態における動作のフローチャート。

Claims (10)

  1. 上層材(8)を含む基板を用意するステップを有し、
    前記上層材(8)上にハードマスクスタックを形成するステップを有し、前記ハードマスクスタックは、前記上層材(8)に接っするよう形成されたアモルファスカーボン部と、このアモルファスカーボン部上に形成されたキャッピング層とを備え、前記アモルファスカーボン部は、ドーピングされてエッチング選択性を強めるようにドーパントを含んだアモルファスカーボン層(22)と、実質的に前記ドーパントを含まないドーピングされていないアモルファスカーボン層(20)と、が交互に形成された層を有するものであり、
    前記ハードマスクスタック上フォトマスク(14)を形成し、
    前記フォトレジストマスク(14)を初期エッチマスクとして用いて、前記上層材をパターニングするために、前記ハードマスクスタックをエッチングする、
    半導体デバイスの製造方法。
  2. 前記ハードマスクスタックを用いて前記上層(8)をパターニングし、
    前記ハードマスクスタックを灰化によって除去する、
    請求項1記載の半導体デバイスの製造方法。
  3. 前記フォトレジストマスクの形成では、
    前記キャッピング層上にフォトマスクのパターンを形成し、
    前記フォトマスクのパターンをトリミングする、
    請求項1記載の半導体デバイスの製造方法。
  4. 上層材(8)を含む基板と、
    前記上層材(8)上に形成されたハードマスクスタックと、を有し、前記ハードマスクスタックは、前記上層材に接っするよう形成されたアモルファスカーボン部と、前記アモルファスカーボン部上に形成されたキャッピング層と、を有し、前記アモルファスカーボン部は、ドーピングされてエッチング選択性を強めるようにドーパントを含んだアモルファスカーボン層(22)と、実質的に前記ドーパントを含まないドーピングされていないアモルファスカーボン層(20)と、が交互に形成された層を有するものである、
    半導体デバイスの製造時に形成される構造。
  5. 前記ハードマスクの前記アモルファスカーボン部は、
    前記上層材(8)上に形成されたドーピングされていないアモルファスカーボン(20)最下層と、
    前記キャッピング層12に接して形成されたドーピングされていないアモルファスカーボン層(20)最上層と、
    前記ドーピングされていないアモルファスカーボンの最上層(20)と最下層最下層との間の少なくとも一つのドーピングされたアモルファスカーボン(22)層と、を有する、
    請求項4記載の構造。
  6. 上層材(8)を含む基板を用意するステップを有し、
    前記上層材(8)上にハードマスクスタクを形成するステップを有し、前記ハードマスクスタックは、キャッピング材(42)とアモルファスカーボン(40)とが交互に形成された層を有し、この交互に形成された層は、キャッピング上層(42)とキャッピング下層(42)と、を少なくとも有し、かつ、前記キャッピング上層材(42)と前記キャッピング下層材(42)との間に形成された少なくとも一つのアモルファスカーボン層(40)を有するものであり、
    前記ハードマスクスタック上にフォトレジストマスク(14)を形成するステップを有し、
    前記上層材(8)のパターニングのためのハードマスクを形成するように、前記フォトレジストマスク(14)を初期エッチマスクとして用いて、前記ハードマスクスタックをエッチングするステップを有する、
    半導体デバイスの製造方法。
  7. 前記ハードマスクスタックは、更に、前記上層材(8)上に形成されたアモルファスカーボン下層(40)を有し、前記キャッピング下層材(42)は、前記アモルファスカーボン下層(40)上に形成されており、
    前記ハードマスクを用いて前記上層材(8)をパターニングし、
    前記上層材(8)から、灰化プロセスによって前記ハードマスクを除去する、請求項6記載の方法。
  8. 前記アモルファスカーボン下層(40)は、前記上層(8)に対するエッチング選択性を強めるためのドーパントを含む、請求項6記載の方法。
  9. 上層材(8)を含む基板と、
    前記上層材上に形成されたハードマスクスタックと、を有し、前記ハードマスクスタックは、キャッピング材(42)とアモルファスカーボン(40)とが交互に形成された層を有し、この交互に形成された層は、キャッピング上層(42)とキャッピング下層(42)と、を少なくとも有し、かつ、前記キャッピング上層材(42)と前記キャッピング下層材(42)との間に形成された少なくとも一つのアモルファスカーボン層(40)を有するものである、
    半導体デバイスの製造時に形成される構造。
  10. 前記ハードマスクは、
    前記上層材(8)上に形成されたアモルファスカーボン下層(40)を有し、前記キャッピング下層材(42)は、前記アモルファスカーボン下層(40)上に形成されており、
    前記アモルファスカーボン下層(40)は、前記上層材(8)に対するエッチング選択性を向上させるようにドーパントを含む、請求項9記載の構造。
JP2004525039A 2002-07-31 2003-07-29 半導体デバイス製造過程におけるパターンの変形とフォトマスクの汚染の抑制方法 Expired - Fee Related JP4599578B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40045302P 2002-07-31 2002-07-31
US10/334,392 US6764949B2 (en) 2002-07-31 2002-12-30 Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
PCT/US2003/023746 WO2004012246A2 (en) 2002-07-31 2003-07-29 Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication

Publications (3)

Publication Number Publication Date
JP2005535119A true JP2005535119A (ja) 2005-11-17
JP2005535119A5 JP2005535119A5 (ja) 2006-09-14
JP4599578B2 JP4599578B2 (ja) 2010-12-15

Family

ID=31190859

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004525039A Expired - Fee Related JP4599578B2 (ja) 2002-07-31 2003-07-29 半導体デバイス製造過程におけるパターンの変形とフォトマスクの汚染の抑制方法

Country Status (9)

Country Link
US (1) US6764949B2 (ja)
EP (1) EP1576657B1 (ja)
JP (1) JP4599578B2 (ja)
KR (1) KR101001346B1 (ja)
CN (1) CN100341114C (ja)
AU (1) AU2003254254A1 (ja)
DE (1) DE60330998D1 (ja)
TW (1) TWI307917B (ja)
WO (1) WO2004012246A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007149768A (ja) * 2005-11-24 2007-06-14 Nec Electronics Corp 半導体装置の製造方法
JP2009117818A (ja) * 2007-10-15 2009-05-28 Qimonda Ag 集積回路の製造方法
JP4861987B2 (ja) * 2004-08-26 2012-01-25 東京エレクトロン株式会社 膜スタックをエッチングするための方法およびシステム

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7084071B1 (en) * 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6855627B1 (en) * 2002-12-04 2005-02-15 Advanced Micro Devices, Inc. Method of using amorphous carbon to prevent resist poisoning
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6838347B1 (en) * 2003-09-23 2005-01-04 International Business Machines Corporation Method for reducing line edge roughness of oxide material using chemical oxide removal
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100772706B1 (ko) 2006-09-28 2007-11-02 주식회사 하이닉스반도체 반도체 소자의 콘택홀 제조 방법
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
KR100834396B1 (ko) * 2006-12-27 2008-06-04 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US9732416B1 (en) 2007-04-18 2017-08-15 Novellus Systems, Inc. Wafer chuck with aerodynamic design for turbulence reduction
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) * 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8110476B2 (en) * 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US20100032640A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8419964B2 (en) * 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8172646B2 (en) 2009-02-27 2012-05-08 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
TWI419201B (zh) * 2009-04-27 2013-12-11 Macronix Int Co Ltd 圖案化的方法
DE102009046259B4 (de) * 2009-10-30 2019-10-10 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
KR20130075158A (ko) 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
KR102477091B1 (ko) * 2015-07-24 2022-12-13 삼성전자주식회사 2차원 물질 하드마스크와 그 제조방법 및 하드 마스크를 이용한 물질층 패턴 형성방법
US9806161B1 (en) * 2016-04-07 2017-10-31 Globalfoundries Inc. Integrated circuit structure having thin gate dielectric device and thick gate dielectric device
CN108695162B (zh) 2017-04-12 2021-04-09 联华电子股份有限公司 鳍状结构的制造方法
US10345702B2 (en) 2017-08-24 2019-07-09 International Business Machines Corporation Polymer brushes for extreme ultraviolet photolithography
US10522750B2 (en) 2018-02-19 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175167A (ja) * 1991-06-07 1993-07-13 Eastman Kodak Co Siのドライエッチング方法及びこれに使用するための炭素マスク
JP2000058830A (ja) * 1998-05-28 2000-02-25 Texas Instr Inc <Ti> 反射防止構造体とその製造法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2687844A1 (fr) * 1992-02-26 1993-08-27 Chouan Yannick Procede de fabrication d'un transistor en couches minces a double grille et a masque optique.
US5656128A (en) * 1993-03-26 1997-08-12 Fujitsu Limited Reduction of reflection by amorphous carbon
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
JP3047832B2 (ja) * 1996-10-03 2000-06-05 日本電気株式会社 半導体装置の製造方法
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6664639B2 (en) * 2000-12-22 2003-12-16 Matrix Semiconductor, Inc. Contact and via structure and method of fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05175167A (ja) * 1991-06-07 1993-07-13 Eastman Kodak Co Siのドライエッチング方法及びこれに使用するための炭素マスク
JP2000058830A (ja) * 1998-05-28 2000-02-25 Texas Instr Inc <Ti> 反射防止構造体とその製造法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4861987B2 (ja) * 2004-08-26 2012-01-25 東京エレクトロン株式会社 膜スタックをエッチングするための方法およびシステム
JP2007149768A (ja) * 2005-11-24 2007-06-14 Nec Electronics Corp 半導体装置の製造方法
JP2009117818A (ja) * 2007-10-15 2009-05-28 Qimonda Ag 集積回路の製造方法

Also Published As

Publication number Publication date
TW200405414A (en) 2004-04-01
TWI307917B (en) 2009-03-21
WO2004012246A3 (en) 2004-05-13
AU2003254254A1 (en) 2004-02-16
DE60330998D1 (de) 2010-03-04
EP1576657A2 (en) 2005-09-21
KR101001346B1 (ko) 2010-12-14
EP1576657B1 (en) 2010-01-13
CN1672243A (zh) 2005-09-21
JP4599578B2 (ja) 2010-12-15
WO2004012246A2 (en) 2004-02-05
CN100341114C (zh) 2007-10-03
US6764949B2 (en) 2004-07-20
KR20050019905A (ko) 2005-03-03
US20040023475A1 (en) 2004-02-05

Similar Documents

Publication Publication Date Title
JP4599578B2 (ja) 半導体デバイス製造過程におけるパターンの変形とフォトマスクの汚染の抑制方法
US6803313B2 (en) Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6750127B1 (en) Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
KR100190261B1 (ko) 집적회로의 게이트 스택 제조 방법
CN112750760A (zh) 自对准双图案化
CN109309091A (zh) 图案化方法
JP5100198B2 (ja) 半導体素子の微細パターンの形成方法
US7585727B2 (en) Method for fabricating semiconductor device having bulb-shaped recess gate
JP2006261630A (ja) 半導体素子の製造方法
US8247878B2 (en) Semiconductor device and method of manufacturing the same
US10460939B1 (en) Patterning method
US7605069B2 (en) Method for fabricating semiconductor device with gate
US6764947B1 (en) Method for reducing gate line deformation and reducing gate line widths in semiconductor devices
JP4989817B2 (ja) 半導体装置およびその製造方法
TWI623084B (zh) 半導體結構及其製造方法
JP2006128613A (ja) 半導体素子の製造方法
US7049245B2 (en) Two-step GC etch for GC profile and process window improvement
JP2002110967A (ja) 半導体装置の製造方法および半導体装置
US11784056B2 (en) Self-aligned double patterning
US6812077B1 (en) Method for patterning narrow gate lines
US6521520B1 (en) Semiconductor wafer arrangement and method of processing a semiconductor wafer
KR20100053896A (ko) 반도체 장치 제조 방법
US20040185608A1 (en) Methods of forming integrated circuit devices using buffer layers covering conductive/insulating interfaces
KR20060011021A (ko) 반도체 소자의 제조 방법
KR20050106917A (ko) 반도체소자의 게이트라인 제조 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060727

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060727

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100323

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100618

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100804

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100903

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees