KR100960761B1 - 재료층을 증착하는 방법 - Google Patents

재료층을 증착하는 방법 Download PDF

Info

Publication number
KR100960761B1
KR100960761B1 KR1020047005273A KR20047005273A KR100960761B1 KR 100960761 B1 KR100960761 B1 KR 100960761B1 KR 1020047005273 A KR1020047005273 A KR 1020047005273A KR 20047005273 A KR20047005273 A KR 20047005273A KR 100960761 B1 KR100960761 B1 KR 100960761B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
species
pressure
deposition
Prior art date
Application number
KR1020047005273A
Other languages
English (en)
Other versions
KR20040048949A (ko
Inventor
리앙-유 첸
다니엘 에이. 칼
이스라엘 베인글래스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040048949A publication Critical patent/KR20040048949A/ko
Application granted granted Critical
Publication of KR100960761B1 publication Critical patent/KR100960761B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

고 종횡비 피쳐로 기판 상의 층을 형성하는 방법이 개시되어있다. 상기 층은 하나 이상의 프로세스 가스 및 하나 이상의 에칭 종을 포함하는 가스 혼합물로부터 형성된다. 하나 이상의 프로세스 가스는 기판에 재료층을 증착하기 위하여 반응한다. 재료층 증착과 관련하여, 에칭 종은 고 종횡비 피쳐 개구부에 인접한 증착된 재료층 부분을 선택적으로 제거하며, 이러한 피쳐를 무-공극 및/또는 무-시임 방식으로 채우게 된다. 재료층은 물리 기상 증착(PVD) 및/또는 화학 기상 증착(CVD) 기술을 사용하여 기판에 증착될 수 있다.

Description

재료층을 증착하는 방법 {METHOD OF DEPOSITING A MATERIAL LAYER}
본 발명은 재료층(material layer)을 증착하는 방법에 관한 것으로, 특히 집적회로 공정을 위한 재료층을 증착하는 방법에 관한 것이다.
서브-하프 미크론(sub-half micron) 이하 피쳐(feature)를 신뢰할 수 있도록 생산하는 것은 극 초 대규모 집적회로(ULSI)뿐 아니라 초 대규모 집적회로(VLSI)의 차세대용 주요 기술 중 하나이다. 특히, 관련된 다른 회로 기술이 요구하는 요건이 보다 엄격해짐에 따라, VLSI 및 ULSI 기술에서 상호 연결 피쳐(interconnect feature)의 축소된 크기는 프로세싱 능력에 대한 추가적인 요구를 하게 된다. 예를 들어, 다층 상호 연결 피쳐는 비아(via), 라인(line) 및 컨텍트(contact)와 같은 고 종횡비(예를 들어, 피쳐의 폭에 대한 피쳐의 높이의 비율) 구조물의 세심한 프로세싱을 요구한다. 이러한 피쳐의 신뢰할 만한 형성은 집적회로의 품질과 회로 밀도를 증가시키기 위한 계속적인 노력에 매우 중요하다.
회로 밀도가 증가함에 따라, 비아, 라인, 및 컨텍트의 폭은 서브-미크론 크기(예를 들어, 0.25 마이크로미터 이하)까지 감소되는 반면, 일반적으로 이러한 구조물들 사이의 유전 재료층의 두께는 실질적으로 일정하게 유지되어, 이러한 피쳐에 대한 종횡비를 증가시킨다. 많은 통상적인 증착 프로세스는 종횡비가 4:1을 초 과하는 특히, 종횡비가 10:1을 초과하는 서브-미크론 구조물을 채우는데 어려움이 있었다.
도 1a-b는 기판(1) 상의 고 종횡비 피쳐(6) 내에 재료층을 증착할 때 발생할 수 있는 결과를 도시한다. 고 종횡비 피쳐(6)는 인접 피쳐(2), 컨텍트, 비아 사이에 형성되는 공간 또는 층(2) 내에 형성된 트렌치와 같은 어떠한 개구부도 될 수 있다. 도 1a에서 도시된 것처럼, 종래의 증착 기술을 사용하여 증착되는 재료층(11)은 측면(6S) 또는 바닥(6B)에서보다 더 큰 속도로 피쳐(6)의 상부 엣지(6T)에 증착되어 오버행(overhang)을 발생시키는 경향이 있다. 재료의 이러한 오버행 또는 과도한 증착은 때때로 크라우닝(crowning)으로 언급된다. 이러한 과도한 재료는, 내부에 증착되는 재료(11)에 의하여 개구부가 봉쇄되어 공극(14)을 형성할 때까지, 피쳐(6)의 상부 엣지(6T)에 계속해서 쌓인다. 추가적으로, 도 1b에 도시된 것과 같이, 개구부(6)의 양 측면(6S)에 증착되는 재료층(11)이 융합할 때, 시임(8)이 형성될 수 있다. 공극 또는 시임의 존재는 신뢰할 수 없는 집적회로 성능이라는 결과를 가져올 수 있다.
따라서, 무-공극 및 무-시임으로 고 종횡비 개구부를 채우는 것이 기판에 재료층을 증착하는 방법에 필요하다.
고 종횡비 피쳐로 기판 상에 층을 형성하는 방법이 개시되어있다. 상기 층은 하나 이상의 프로세스 가스 및 하나 이상의 에칭 종(etch species)을 포함하는 가스 혼합물로부터 형성된다. 하나 이상의 프로세스 가스는 기판에 재료층을 증착 하기 위하여 반응한다. 재료층 증착과 관련하여, 에칭 종은 고 종횡비 피쳐 개구부에 인접한 증착된 재료층의 부분을 선택적으로 제거하며, 이러한 피쳐를 무-공극 및/또는 무-시임 방식으로 채우게 된다. 재료층은 물리 기상 증착(PVD) 및/또는 화학 기상 증착(CVD) 기술을 사용하여 기판에 증착될 수 있다.
재료층 증착 프로세스는 집적회로 제조 기술에 적합하다. 집적회로 제조 기술에 있어서, 트렌치 절연을 위한 절연 재료로 사용되는 산화물층이 증착될 수 있다. 이러한 실시예를 위하여, 바람직한 프로세스 과정은 활성 반도체 영역 사이에 형성된 트렌치 영역을 가지는 기판의 제공을 포함한다. 이어서, 트렌치 영역은 하나 이상의 프로세스 가스 및 하나 이상의 에칭 종을 포함하는 가스 혼합물로부터 형성되는 산화물층으로 채워진다. 하나 이상의 프로세스 가스는 트렌치 영역에 산화물층을 증착하기 위하여 반응한다. 산화물층 증착과 관련하여, 에칭 종은 고 종횡비 트렌치 개구부에 인접한 이러한 산화물층의 부분을 선택적으로 제거한다.
다른 집적회로 제조 기술에 있어서, 집적회로 상호연결 구조물이 제조된다. 이러한 실시예를 위해서, 바람직한 프로세스 과정은 구멍을 구비하는 하나 이상의 유전층을 가지는 실리콘 기판의 제공을 포함한다. 구멍의 표면 상에 하나 이상의 배리어층을 정합 되게 증착한다. 하나 이상의 배리어층은 하나 이상의 프로세스 가스 및 하나 이상의 에칭 종을 포함하는 가스 혼합물로부터 형성된다. 하나 이상의 프로세스 가스는 구멍에 배리어 재료를 증착하기 위해 반응한다. 배리어층 증착과 관련하여, 에칭 종은 고 종횡비 구멍 개구부에 인접하는 이러한 배리어 재료의 부분을 선택적으로 제거한다. 하나 이상의 배리어층이 증착된 후에, 하나 이상 의 금속층이 하나 이상의 배리어층에 정합되어 증착될 때, 상호연결 구조물은 완성된다. 금속층은 하나 이상의 프로세스 가스 및 하나 이상의 에칭 종을 포함하는 가스 혼합물로부터 형성된다. 하나 이상의 프로세스 가스는 구멍에 금속층을 증착하기 위하여 반응한다. 금속층 증착과 관련하여, 에칭 종은 고 종횡비 구멍 개구부에 인접하는 이러한 금속층의 부분을 선택적으로 제거한다.
본 발명의 기술은 첨부되는 도면과 관련한 이하 상세한 설명을 고려함으로써 용이하게 이해될 수 있다.
도 1a-1b는 종래 선행 기술의 증착 프로세스를 사용하여 채워지는 고 종횡비 피쳐를 위한 가능한 증착 결과의 단면도이며,
도 2는 여기서 설명되는 실시예의 실행을 위하여 사용될 수 있는 장치의 개략적인 도면을 도시하며,
도 3은 물리 기상 증착(PVD) 챔버의 개략적인 단면도이며,
도 4는 다수의 구멍을 포함하는 가스 어셈블리의 평면도이며,
도 5는 화학 기상 증착(CVD) 챔버의 개략적인 단면도이며,
도 6a-6d는 집적회로 공정의 상이한 단계에서의 기판의 개략적인 단면도이며,
도 7은 여기서 설명되는 방법을 사용하여 재료층을 증착하기 위한 일련의 프로세스 단계를 도시하며,
도 8a-8b는 집적 회로 공정의 상이한 단계에서 기판의 개략적인 단면도를 도 시한다.
도 2는 여기에서 설명되는 실시예에 따른 집적회로 금속배선을 수행하기 위해 사용될 수 있는 웨이퍼 프로세싱 시스템(35)의 개략도이다. 웨이퍼 프로세싱 시스템(35)은 일반적으로 전원 공급부(도시되지 않음) 및 진공 펌프(도시되지 않음)와 같은 다른 하드웨어 부품과 함께 프로세스 챔버(36, 38, 40, 41), 배기 챔버(44), 로드-락(load-lock)챔버(46), 전달(transfer)챔버(48, 50), 통과(pass-through) 챔버(52), 마이크로프로세서 컨트롤러(54)를 포함한다. 이러한 웨이퍼 프로세싱 시스템(35)의 실례로는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스 사로부터 상업적으로 이용 가능한 엔두라[ENDURA, 등록상표] 시스템이 있다.
웨이퍼 프로세싱 시스템(35)의 세부 사항이 본 건의 참고 자료로 인용되는 1993년 2월 16일자로 허여된 "단계별-진공 기판 프로세싱 시스템 및 방법"이라는 명칭의, 본 출원인에게 양도된 미국 특허 제 5, 186, 718 호에 설명된다. 웨이퍼 프로세싱 시스템(35)의 두드러진 특징이 이하 간단하게 설명된다.
웨이퍼 프로세싱 시스템(35)은 각각 전달 로봇(49, 51)을 포함하는 두 개의 전달 챔버(48, 50)를 포함한다. 전달 챔버(48, 50)는 통과 챔버(52)에 의해 서로 분리된다.
전달 챔버(48)는 로드-락 챔버(46), 배기 챔버(44), 예비 세정 챔버(42), 및 통과 챔버(52)에 결합된다. 기판(도시되지 않음)은 로드-락 챔버(46)를 통해 웨이 퍼 프로세싱 시스템(35) 내로 적재된다. 그 후에, 기판은 순차적으로 배기 챔버(44) 및 예비 세정 챔버(42)에서 각각 배기되고 세정된다. 전달 로봇(49)은 기판을 배기 챔버(44) 및 예비 세정 챔버(42) 사이에서 이동시킨다.
전달 챔버(50)는 프로세스 챔버(26, 28, 40, 41)의 그룹과 연결된다. 세정된 기판은 전달 챔버(48)로부터 통과 챔버(52)를 통해 전달 챔버(50)로 이동된다. 그 후에, 전달 로봇(51)은 하나 이상의 프로세스 챔버(36, 38, 40, 41)를 사이에서 기판을 이동시킨다.
프로세스 챔버(36, 38, 40, 41)는 다양한 집적회로 제조 공정을 수행하기 위하여 사용된다. 예를 들어, 프로세스 챔버(36, 38, 40, 41)는 특히, 물리 기상 증착(PVD)챔버, 이온화 금속 플라즈마 물리 기상 증착(IMP PVD)챔버, 화학 증착(CVD)챔버, 급속 열처리 공정(RTP)챔버, 및 반사 억제 코팅(ARC)챔버를 포함할 수 있다.
도 3은 웨이퍼 프로세싱 시스템(35)의 스퍼터링형 물리 기상 증착(PVD) 프로세스 챔버(36)의 단면을 개략적으로 도시한다. 이러한 PVD 프로세스 챔버(36)의 실례로는 캘리포니아 산타클라라 소재 어플라이드 머티어리얼스 사로부터 상업적으로 이용 가능한 아이엠피 벡트라[IMP VECTRA(상표)] 챔버가 있다.
PVD 챔버(36)는 가스원(104), 펌프 시스템(106), 및 타겟 전원 공급원(108)과 연결된다. PVD 챔버(36)는 타겟(110), 수직으로 이동 가능한 받침대(112) 상에 위치하는 기판(120), 및 활성 구역(118)을 에워싸는 차폐물(114)을 에워싼다. 리프트 기구(116)는 타겟(110)에 대하여 상대적으로 받침대(112)를 위치시키기 위하여 받침대(112)에 연결된다.
가스원(104)은 PVD 챔버(36) 내로 하나 이상의 프로세스 가스를 공급한다. 하나 이상의 프로세스 가스는 질소(N2), 헬륨(He), 네온(Ne), 아르곤(Ar)과 같은 불활성 가스 또는 그 외 다른 불활성 가스를 포함할 수 있다. 펌프 시스템(106)은 PVD 챔버(36) 내의 압력을 제어한다.
하나 이상의 프로세스 가스는 예를 들어, 질소(N2) 또는 산소(O2)와 같은 활성 종을 더 포함할 수 있다. 활성 종은 타겟(110)의 스퍼터링 되고 이온화된 입자와 반응하여 기판 상의 재료층(122)을 형성할 수 있다.
타겟(110)은 일반적으로 PVD 챔버(36)의 상부에 매달려 있다. 타겟(110)은 웨이퍼 프로세싱 시스템(35)의 작동 동안에 스퍼터링되는 재료를 포함한다. 비록 타겟이 증착될 재료로서, 절연체 또는 반도체를 포함할 수 있지만, 타겟(110)은 일반적으로 금속을 포함한다. 예를 들어, 타겟은 탄탈륨(Ta), 텅스텐(W), 구리(Cu), 또는 동 기술분야에 알려진 다른 금속으로 형성될 수 있다.
받침대(112)는 PVD 챔버(36) 내에 기판을 지지한다. 받침대는 일반적으로 공정 동안에 타겟(110)으로부터 고정된 거리를 두고 배치된다. 그러나, 타겟(110)과 기판(120) 사이의 거리는 공정 동안에 변할 수 있다. 받침대(112)는 PVD 챔버(36) 내에서 수직 이동 범위를 따라 받침대(112)를 이동시키는 리프트 기구(116)에 의하여 지지된다.
타겟 전원 공급원(108)은 에너지를 가지는 하나 이상의 프로세스 가스를 주입하기 위하여 사용되며, DC 공급원, 무선 주파수(RF) 공급원, DC-펄스 공급원, 또 는 마이크로파 공급원을 포함할 수 있다. 프로세스 가스에 DC 또는 RF 공급원을 적용함으로써 활성 영역(118)에 전기장이 발생된다. 전기장은 활성 영역(118) 내의 프로세스 가스를 이온화하여 프로세스 가스 이온, 전자, 및 프로세스 가스 원자(중성)를 포함하는 플라즈마를 형성한다. 추가적으로, 전기장은 타겟(110)으로부터 타겟 입자를 스퍼터링 하기 위하여 프로세스 가스 이온을 타겟(110)을 향하여 가속시킨다. 플라즈마 내의 전자가 스퍼터링된 타겟 입자와 충돌할 때, 이러한 타겟 입자는 이온화된다.
PVD 챔버(36) 장치는 타겟(110)으로부터 스퍼터링되고 이온화된 타겟 입자를 기판(120) 상에 증착 시켜 막(122)을 형성하도록 할 수 있다. 차폐물(114)은 PVD 챔버 내의 활성 영역에 스퍼터링된 입자 및 비-반응 가스를 가두어 둔다. 이와 같이, 차폐물(114)은 부적절한 위치 예를 들어, 받침대(112) 하부 또는 타겟(110)의 후면에 타겟 입자가 증착되는 것을 방지한다.
PVD 챔버(36)는 스퍼터링된 입자가 기판(120) 상에 증착되는 것을 개선시키기 위해 추가적인 부품을 포함할 수 있다. 예를 들어, PVD 챔버(36)는 기판(120)을 바이어스 시키기 위하여 바이어스 전원 공급원(124)을 포함할 수 있다. 바이어스 전원 공급원(124)은 기판(120) 상의 재료층 증착을 제어하기 위하여 받침대(112)에 연결된다. 바이어스 전원 공급원(124)은 일반적으로 예를 들어, 약 400 kHz의 주파수를 가지는 AC 공급원이다.
전원 공급원(124)으로부터 바이어스 전원이 기판(120)에 적용될 때, 플라즈마에 있는 전자는 기판(120)쪽으로 축적되며, 기판(120) 및 받침대(112) 상에 네거 티브 DC 오프셋을 발생시킨다. 기판(120)에 적용되는 바이어스 전원은 이온화되는 스퍼터링된 타겟 입자를 끌어당긴다. 이러한 이온화된 타겟 입자는 실질적으로 기판(120)에 수직한 방향으로 기판(120)으로 당겨진다. 이와 같이, 바이어스 전원 공급원(124)은 기판(120) 상의 타겟 입자의 증착을 강화시킨다.
PVD 챔버(36)는 타겟(110) 가까이에 자기장을 발생시키기 위해 타겟(110) 뒤에 위치하는 자성 소조립체(magnetic sub-assembly) 또는 자석(126)을 더 포함할 수 있다. PVD 챔버(36)는 또한 타겟(110) 및 기판(120) 사이의 차폐물(114) 안에 배치되는 코일(130)을 포함할 수 있다. 코일(130)은 에너지가 공급될 때, 스퍼터링된 입자를 이온화시키는 일회 감긴 코일 또는 수회 감긴 코일을 포함할 수 있다. 이러한 공정은 이온 금속 플라즈마(IMP) 증착으로 알려져 있다. 코일(130)은 일반적으로 예를 들어, 약 2 MHz의 주파수를 가지는 AC 공급원(132)에 연결된다.
에칭 용액 종은 원거리 공급원(remote source)(170)으로부터 PVD 챔버(36)에 제공된다. 에칭 용액 종은 에칭 가스 어셈블리(175)의 하나 이상의 구멍(172)을 통해 챔버(36)에 제공된다. 에칭 종은 원거리 공급원(170)에 연결되는 원거리 전원 공급원(174)에 의해 발생되는 에너지를 공급받은 입자를 포함할 수 있다. 원거리 전원 공급원은 바람직하게는 RF 에너지의 공급원이다. 에칭 가스에 RF 전원을 적용함으로써 에칭 종을 이온화하여 이온, 전자, 및 원자를 포함하는 플라즈마를 형성하는 전기장이 발생된다.
도 4는 PVD 챔버(36) 내부의 기판(120)의 평면도를 제공한다. 기판 위에 배치되는 것은 에칭 가스 어셈블리(175)이다. 에칭 가스 어셈블리(175)는 하나 이상 의 구멍(172)을 포함한다. 하나 이상의 구멍(172)은 PVD 챔버(36)에 에칭 종을 제공한다.
구멍(172)은 기판(120) 상에 배치되어 평행화된 가스 흐름(collimated gas flow)의 형태로 기판(120)에 에칭 종을 운반한다. 적합한 가스 흐름 기구는 1993년 10월 26일자로 슈미트 등에게 허여된 "박막 재료의 마이크로웨이브 플라즈마 어시스티드 슈퍼소닉 가스 제트 증착"이라는 명칭의 미국 특허 제 5, 256, 205 호에서 뿐 아니라, 1998년 3월 10일자로 슈미트 등에게 허여된 "전도 및 유전체의 고상 박막의 고속, 저압력 가스 제트 증착용 장치"라는 명칭의 미국 특허 제 5, 725, 672 호에서 설명되며, 본 건에서는 둘다 참조 자료로서 사용된다.
하나 이상의 구멍(172)은 일반적으로 내부 공동 및 노즐 개구부(도시되지 않음)를 가지는 것을 특징으로 한다. 내부 공동은 원거리 공급원(170)에 연결된다. 원거리 공급원(170)은 에칭 종을 에칭 가스 어셈블리(175)의 내부 공동에 제공한다.
구멍(172)은 에칭 종을 평행한 방식으로 기판에 제공하기 위하여 기판(120) 상에 위치한다. 구멍(172)은 에칭 종의 일정한 운반을 기판(120)에 제공하기 위해 서로 떨어져 축선(180)을 따라 이격될 수 있다. 도 4가 8개의 구멍(172)만으로 에칭 가스 어셈블리(175)를 설명하고 있지만, 어셈블리(175)가 도 4에서 설명된 것과 다른 위치에 위치되고 더 많거나 더 적은 구멍(172)을 가지는 것은 본 발명의 기술 범위 내에 있다.
에칭 가스 어셈블리(175)는 기판(120)에 대한 상대적인 병진 또는 회전 운동을 통해 임의로 이동 가능하다. PVD 챔버(36) 내에서 에칭 가스 어셈블리의 기판에 대한 상대적인 운동은 일반적으로 에칭 종의 기판으로의 개선된 운반 균일성을 증진시킨다. 에칭 가스 어셈블리는 예를 들어, 축선(190)을 따라 직선형으로 또는 회전형으로 이동할 수 있다. 에칭 가스 어셈블리(175)는 바람직하게는 기판에 대하여 약 0.1 cm/min 를 초과하는 속도(rate)로 이동한다.
도 5는 웨이퍼 프로세싱 시스템(35)의 화학 기상 증착(CVD) 프로세스 챔버(38)의 개략적인 단면도를 나타낸다. 이러한 CVD 챔버(38)의 실례는 캘리포니아 산타클라라 소재 어플라이드 머티어리얼스 사로부터 상업적으로 이용 가능한 프리시젼 5000[PRECISION 5000(등록상표)] 챔버 및 더블유 엑스 지[WXZ(상표)] 챔버, 및 티 엑스 지[TXZ(상표)] 챔버를 포함한다.
CVD 챔버(38)는 일반적으로 기판(290)을 지지하기 위해 사용되는 웨이퍼 지지 받침대(250)를 수용한다. 웨이퍼 지지 받침대(250)는 변위 기구(도시되지 않음)를 사용하는 CVD 챔버(38)의 내부에서 수직 방향으로 이동 가능하다.
특별한 CVD 공정에 따라, 기판(290)은 증착 동안 또는 증착 이전에 어느 정도 요구되는 온도까지 가열될 수 있다. 예를 들어, 웨이퍼 지지 받침대(250)는 내재된 가열기 부재(270)에 의해 가열될 수 있다. 웨이퍼 지지 받침대(250)는 AC 전원 공급원(206)으로부터 가열기 부재(270)에 전류를 공급함으로써 저항에 의해 가열될 수 있다. 순차적으로, 기판(290)은 받침대(250)에 의해 가열된다.
열전쌍과 같은 온도 센서(272)도 종래 방식으로 받침대(250)의 온도를 감지하기 위하여 웨이퍼 지지 받침대(250)에 내재된다. 측정된 온도는 가열 부재(270) 를 위한 AC 전원 공급원(206)을 제어하도록 피드백 루프에 사용되며, 그로 인해 기판 온도는 입자 프로세스 적용을 위해 적절한 요구되는 온도로 제어되거나 유지될 수 있다. 선택적으로, 복사 가열체(도시되지 않음)를 사용하여 웨이퍼 지지 받침대(250)를 가열할 수도 있다.
진공 펌프(202)는 CVD 챔버(38)를 비우기 위하여 사용되고, CVD 챔버(38) 내부 압력 및 적절한 가스 흐름을 유지하기 위하여 사용된다. 프로세스 가스가 CVD 챔버(38) 내로 도입되기 위해 통과하는 샤워 헤드(220)는 웨이퍼 지지 받침대(250) 위에 위치한다. 샤워 헤드는 프로세스 가스 뿐 아니라 에칭 종도 도입할 수 있다. 샤워 헤드(220)는 CVD 챔버(38)에 제공되는 에칭 종 뿐 아니라 다양한 프로세스 가스를 제어하고 제공하는 가스 패널(230)에 연결된다.
가스 패널(230)을 통한 가스 흐름의 적절한 제어 및 조절은 질량 흐름 제어기(도시되지 않음) 및 마이크로프로세서 제어기(54)(도 2)에 의해 수행된다. 샤워 헤드(220)는 프로세스 가스를 가스 패널(230)로부터 CVD 챔버(38) 내로 일정하게 도입하고 살포한다.
에칭 용액이 저장되는 원거리 챔버(280)는 CVD 챔버(38)에 연결된다. 에칭 용액은 샤워 헤드(220)의 하나 이상의 구멍(172)(도 4)을 통해 CVD 챔버(38)에 제공되는 에칭 종을 포함한다. 에칭 종은 원거리 전원 공급원(274)에 의해 에너지를 얻을 수 있다. 원거리 전원 공급원(274)은 바람직하게는 RF 에너지의 공급원이다. 에칭 종에 RF 전원을 공급함으로써 전기장을 발생시킨다. 전기장은 이온, 전자, 및 원자(중성)를 포함하는 플라즈마를 형성하기 위해 에칭 종을 이온화한다.
도 5는 샤워 헤드(220)를 통한 에칭 종의 운반을 설명하고 있지만 예를 들어, 샤워 헤드(220) 가까이에 있는, 또는 샤워 헤드(220)를 임의적으로 에워쌀 수 있는(도시되지 않음) 분리된 가스 어셈블리(도시되지 않음)를 통해 CVD 챔버(38)로 에칭 종이 운반되는 것도 본 발명의 범위 내에 있다.
샤워 헤드(220)의 구멍(172)은 예를 들어, 도 4에서 설명된 것 및 PVD 챔버(36)에 대해 상기 설명된 것과 같은 배열로 조립될 수 있다. 도 4에서 8개의 구멍(172)만으로 어셈블리(175)가 묘사되었지만, 어셈블리는 도 4에서 묘사된 구멍들과 다른 위치에 위치하는 더 많은 또는 더 적은 구멍(172)을 가질 수 있다. 추가적으로, 샤워 헤드는 기판(120)에 대한 상대적인 병진 또는 회전 운동을 통해 임의적으로 이동할 수 있다. CVD 챔버(38) 내부에서 기판에 대한 샤워 헤드(220)의 이동은 일반적으로 기판으로의 에칭 종의 개선된 운반 균일성을 증진시킨다. 샤워 헤드(220)는 예를 들어, 축선(190)(도 4)을 따라 직선형 또는 원형으로 이동할 수 있다. 샤워 헤드(220)는 바람직하게는 약 0.1 cm/min을 초과하는 속도로 기판에 대하여 이동한다.
CVD 챔버(38)는 기판(290)에 층 증착을 강화하기 위하여 추가적인 부품을 포함할 수 있다. 예를 들어, 샤워 헤드(220) 및 웨이퍼 지지 받침대(250)는 일정 간격 떨어진 한 쌍의 전극을 형성할 수도 있다. 전기장이 이러한 전극 사이에 발생할 때, CVD 챔버(38) 내로 도입되는 프로세스 가스는 여기되어 플라즈마로 된다.
일반적으로, 전기장은 웨이퍼 지지 받침대(250)를 매칭 네트워크(도시되지 않음)를 통해 무선 주파수(RF) 전원(도시되지 않음)의 공급원에 연결함으로써 발생 된다. 선택적으로, RF 전원 공급원 및 매칭 네트워크는 샤워 헤드(220)에 연결될 수 있으며, 또는 샤워 헤드(220) 및 웨이퍼 지지 받침대(250) 모두에 연결될 수 있다.
플라즈마 강화 화학 기상 증착(PECVD) 기술은 기판 표면 가까이의 활성 영역에 전기장의 적용에 의해 활성 가스의 여기 및/또는 해리를 증진시키며, 활성화 종의 플라즈마를 발생시킨다. 플라즈마에서 종의 반응성은 이러한 PECVD 공정을 위해 요구되는 온도를 낮추는 효과로 인해, 화학 반응이 발생하기 위해 요구되는 에너지를 감소시킨다.
도 2를 참조하여, 상기 설명된 PVD 프로세스 챔버(36) 및 CVD 프로세스 챔버(38)는 각각 마이크로프로세서 제어기(54)에 의해 제어된다. 마이크로프로세서 제어기(54)는 다양한 챔버 및 하부-프로세서를 제어하기 위한 산업 설비(industrial setting)에 사용될 수 있는 범용 컴퓨터 프로세서(CPU)의 형태 중 하나가 될 수 있다. 컴퓨터는 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드디스크, 또는 어떤 다른 형태의 디지털 저장 장치와 같은 적합한 메모리를 원격 또는 로컬로 사용할 수 있다. 다양한 지원 회로(support circuit)가 종래의 방식으로 프로세서를 지원하기 위하여 CPU에 연결될 수 있다. 요구되는 것과 같이 소프트웨어 루틴(software routine)은 메모리에 저장될 수 있거나 원거리에 위치하는 제 2 CPU에 의해 수행될 수 있다.
소프트웨어 루틴은 기판이 받침대 상에 위치된 후에 수행된다. 소프트웨어 루틴이 실행될 때, 상기 소프트웨어 루틴은 범용 컴퓨터를 챔버 프로세스가 수행되 도록 챔버 작동을 제어하는 특별한 프로세스 컴퓨터로 변경한다. 그 대신에, 소프트웨어 루틴은 용도에 맞게 특정된 집적회로 또는 다른 형태의 하드웨어의 실행으로서 하드웨어 내에서 수행될 수 있고, 또는 소프트웨어 또는 하드웨어의 결합체에서 수행될 수 있다.
재료층 증착
집적 배리어층 구조물
일 실시예에서, 집적 회로는 고 종횡비 구조물 내에 집적 배리어층 구조물을 형성하고 이어서 하나 이상의 금속층을 증착함으로써 금속화된다. 집적 배리어층 구조물은 특히 예를 들어, 티타늄(Ti), 질화 티타늄(TiN), 탄탈륨(Ta), 질화 탄탈륨(TaNX), 텅스텐(W), 또는 질화 텅스텐(WNX)을 포함하는 하나 이상의 배리어층을 기판 상에 정합되게 증착함으로써 형성될 수 있다. 하나 이상의 배리어층은 물리 기상 증착(PVD) 또는 화학 기상 증착(CVD)을 사용하여 기판에 적절하게 증착될 수 있다.
PVD 증착
일반적으로, PVD를 사용하는 배리어층을 정합되게 형성하기 위하여 다음의 증착 프로세스 파라미터가 사용될 수 있다. 프로세스 파라미터는 약 20℃ 내지 약 300℃ 의 웨이퍼 온도, 약 0.1 mtorr 내지 약 100 torr 의 챔버 압력, 약 1 킬로와트 내지 약 20 킬로와트의 DC 전원, 및 약 1 watt 내지 약 500 watt 의 바이어스 전원을 나타낸다.
질소(N2) 가스는 질화물 기반 배리어층이 형성될 때, PVD 증착 챔버에 제공된다. 질화 티타늄(TiN), 질화 탄탈륨(TaNX), 또는 질화 텅스텐(WNX)이 형성될 때, 약 100 sccm 내지 약 2000 sccm 의 유량을 구비하는 질소(N2) 가스가 PVD 챔버에 제공될 수 있다.
또한, 챔버를 요구되는 챔버 압력에 유지하기 위하여 헬륨(He) 또는 아르곤(Ar)과 같은 불활성 가스가 PVD 증착 챔버에 제공될 수 있다. 불활성 가스는 약 100 sccm 내지 약 5000 sccm 의 유량으로 증착 챔버에 제공될 수 있다.
상기 PVD 프로세스 파라미터는 하나 이상의 배리어층에 대해 약 50 Å/min 내지 약 500 Å/min 의 증착 속도를 제공한다.
배리어층 증착과 관련하여, 에칭 종이 PVD 챔버(36)에 제공될 수 있다. 에칭 종은 고 종횡비 구조물의 상부에 에칭 종의 고 농도를 유지하기 위해 평행한 방식으로 기판(400)을 향하게 된다. 평행한 운반이란 기판 표면에 수직하는 방향으로의 에칭 종의 이동을 의미한다.
증착되는 배리어 재료에 따라, 가스를 포함하는 불소 및/또는 염소가 에칭 종으로 사용될 수 있다. 예를 들어 특히, 육불화황(SF6), 사염화탄소(CCl4), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH2 FCl)이 사용될 수 있다.
에칭 종의 평행 운반은 바람직하게는 에칭 종이 기판을 향해 이동할 때, 에칭 종에 의해 발생되는 충돌 횟수 및/또는 충돌 빈도수를 감소시킨다. 가스의 불규칙한 비-방향성 운동에너지가 기판을 향하는 운동에너지로 변환될 수 있다. 그에 따라, 에칭 종은 가장 활성화된 화학적(chemical) 상태로 고 종횡비 구조물의 상부에 도달하기 용이하게 되며, 이에 따라 그러한 영역에 에칭을 강화한다.
에칭 종을 위한 충분한 평행화를 제공하기 위하여, 원거리 공급원(170)의 압력은 PVD 챔버의 압력보다 크다. 이러한 조건하에서, 구멍(172)을 통한 에칭 종의 흐름은 기판(120)을 향하게 된다. 원거리 공급원(170)의 가스 압력은 예를 들어, 약 1 torr 내지 10 torr 내에 있을 수 있고, PVD 챔버의 압력은 예를 들어, 약 1 militorr 내지 약 1 torr 내에 있을 수 있다.
CVD 증착
CVD 기술을 사용하여, 예를 들어, 텅스텐 전구체(precursor), 티타늄 함유 전구체, 또는 탄탈륨 함유 전구체를 열적으로 분해함으로써 하나 이상의 배리어층이 형성될 수 있다. 텅스텐 전구체는 육불화 텅스텐(WF6) 및 텅스텐 카르보닐[W(CO)6]로부터 선택될 수 있다. 탄탈륨 함유 전구체는 특히 예를 들어, 탄탈륨 펜타클로라이드(TaCl5) 그룹, 펜타키스(diethylamido) 탄탈륨 (PDEAT) [Ta(Net2)5], 펜타키스(ethylmethylamido) 탄탈륨 (PEMAT)[Ta(N(Et)(Me))5], 및 펜타키스(dimethlamido) 탄탈륨(PDMAT)[Ta(Nme2)5]으로부터 선택될 수 있다. 티타늄 함유 전구체는 특히 예를 들어, 티타늄 테트라클로라이드(TiCl4) 그룹, 테트라키스(diethylamido) 티타늄(TEMAT) [Ti(N(Et)(Me))4], 및 테트라키스(diemethylamido) 티타늄(TDMAT)[Ti(NMe2)4]으로부터 선택될 수 있다.
특히 수소(H2), 헬륨(He), 아르곤(Ar), 및 질소(N2)와 같은 케리어 가스는 탄탈륨, 티타늄, 또는 텅스텐 전구체와 혼합될 수 있다.
일반적으로, 이하의 프로세스 파라미터는 도 5에서 도시된 것과 유사한 프로세스 챔버에 CVD 기술을 사용하여 하나 이상의 배리어층을 형성하는데 사용될 수 있다. 약 450℃ 미만의 웨이퍼 온도, 약 0.1 torr 내지 10 torr 의 챔버 압력, 약 50 sccm 내지 약 7000 sccm 의 탄탈륨, 티타늄, 또는 텅스텐 전구체 유량, 및 약 100 sccm 내지 약 1 slm 의 케리어 가스 유량을 나타낸다. 상기 프로세스 파라미터는 일반적으로 CVD 증착되는 하나 이상의 배리어층에 대해 약 10 Å/min 내지 2000 Å/min 의 증착 속도를 제공한다.
증착되는 배리어 재료에 따라, 가스를 포함하는 불소 및/또는 염소가 에칭 종으로 사용될 수 있다. 예를 들어 특히, 육불화황(SF6), 사염화탄소(CCl4), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH2 FCl)이 사용될 수 있다.
에칭 종의 평행 운반은 바람직하게는 에칭 종이 기판을 향해 이동할 때, 에칭 종에 의해 발생되는 충돌 횟수 및/또는 충돌 빈도수를 감소시킨다. 가스의 불규칙적인 비-방향성 운동에너지가 기판을 향하는 운동에너지로 변환될 수 있다. 그에 따라, 에칭 종은 가장 활성화된 화학적 상태로 고 종횡비 구조물의 상부에 도달하기 용이하게 되며, 이에 따라 그러한 영역에 에칭을 강화한다.
에칭 종을 위한 충분한 평행화를 제공하기 위하여, 공급원(280)의 압력은 CVD 챔버의 압력보다 크다. 이러한 조건하에서, 구멍(172)을 통한 에칭 종의 흐름은 기판(120)을 향하게 된다. 원거리 공급원(280)의 가스 압력은 예를 들어, 약 1 torr 내지 10 torr 의 범위 내에 있을 수 있고, CVD 챔버의 압력은 예를 들어, 약 1 militorr 내지 약 1 torr 의 범위 내에 있을 수 있다.
상기 프로세스 파라미터는 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스 사로부터 이용 가능한 증착 챔버에서 200 mm 기판에 대한 실시에 적합하다. 다른 증착 챔버도 본 발명의 범위 내에 있고, 상기 기술된 파라미터들은 하나 이상의 배리어층을 형성하기 위해 사용되는 특정 증착 챔버에 따라 변화될 수 있다. 예를 들어, 다른 증착 챔버는 더 큰(예를 들어, 300 mm 기판을 수용하도록 형성되는 챔버) 또는 더 작은 부피, 요구되는 가스 유량, 또는 어플라이드 머티어리얼스 사로부터 이용 가능한 증착 챔버를 위해 열거된 것보다 크거나 더 작은 전력을 가질 수 있다.
배리어층 형성을 수반하기 때문에, 하나 이상의 금속층은 집적 배리어층 구조물에 적절하게 증착된다. 하나 이상의 금속층은 화학 기상 증착법(CVD), 물리 기상 증착법(PVD), 및/또는 그들이 결합된 방법을 사용하여 정합식으로 증착된다. 예를 들어, CVD 구리층은 구리 헥사플루오르 아세틸아세도네이트[Cu+2(hfac)2], 구 리 헵타플루오르 디메틸 옥탁티엔[Cu+2(fod)2], 구리 헥사 플루오르 아세틸아세토네이트[Cu+1hfac TMVS], 또는 이들의 결합물을 포함하는 가스 혼합물로부터 증착될 수 있다.
증착되는 금속층에 따라, 가스를 포함하는 불소 및/또는 염소는 에칭 종으로 사용될 수 있다. 예를 들어 특히, 육불화황(SF6), 사염화탄소(CCl4), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH2FCl)이 사용될 수 있다.
에칭 종의 평행 운반은 바람직하게는 에칭 종이 기판을 향해 이동할 때, 에칭 종에 의해 발생되는 충돌 횟수 및/또는 충돌 빈도수를 감소시킨다. 가스의 불규칙적인 비-방향성 운동에너지가 기판을 향하는 운동에너지로 변환될 수 있다. 그에 따라, 에칭 종은 가장 활성화된 화학적 상태로 고 종횡비 구조물의 상부에 도달하기 용이하게 되며, 이에 따라 그러한 영역에 에칭을 강화한다.
에칭 종을 위한 충분한 평행화를 제공하기 위하여, 공급원(170)의 압력은 PVD 챔버 또는 CVD 챔버의 압력보다 크다. 이러한 조건하에서, 구멍(172)을 통한 에칭 종의 흐름은 기판(120)을 향하게 된다. 원거리 공급원(170, 280)의 가스 압력은 예를 들어, 약 1 torr 내지 10 torr 내에 있을 수 있고, PVD 챔버 또는 CVD 챔버의 압력은 예를 들어, 약 1 militorr 내지 약 1 torr 내에 있을 수 있다.
도 6a 내지 6d는 집적 배리어층 증착의 다양한 단계를 수행하는 기판의 개략 적인 단면도이다. 프로세싱의 특정 단계에 따라, 기판은 기판 상에 형성되는 실리콘 웨이퍼 또는 다른 재료층에 상응할 수 있다.
도 6a는 기판(400)에 형성된 층(402)을 가지는 기판(400)의 단면도를 도시한다. 층(402)은 기판(400) 표면의 노출되는 부분에 하나 이상의 개구부(406)를 가진다. 개구부(406)는 일반적으로 통로와 같은 상호 연결 피쳐를 의미한다. 상호 연결 피쳐는 약 4:1보다 큰 종횡비(피쳐의 폭에 대한 피쳐의 깊이의 비)를 갖는다.
도 7은 개구부(406) 내에 집적 배리어층 구조물을 증착하기 위한 일반적인 프로세스 과정이 수행되는 프로세스 흐름도를 도시한다. 단계(600)에서, 기판(400)은 프로세스 챔버 내에 위치된다. 프로세스 챔버는 예를 들어, 도 2,3 및 5에서 도시된 것과 같이, 클러스터 기구(cluster tool) (35)에 내재되는 물리 기상 증착 챔버(36) 또는 화학 기상 증착 챔버(38) 일 수 있다.
도 6a 및 도 7의 단계 610-620에서 도시된 것처럼, 하나 이상의 프로세스 가스(490)가 챔버에 제공된다. PVD 챔버의 경우에, 하나 이상의 프로세스 가스(490)는 PVD 스퍼터 타겟으로부터 기판(400) 상의 하나 이상의 개구부(406) 내로 재료를 스퍼터링 하기 위하여 사용되는 하나 이상의 불활성 가스를 포함할 수 있다. 불활성 가스는 예를 들어, 아르곤(Ar), 헬륨(He)일 수 있다.
CVD 챔버의 경우에, 하나 이상의 프로세스 가스(490)는 기판(400)에 배리어층(410)을 형성하기 위하여 활성화되는 하나 이상의 가스를 포함할 수 있다. 하나 이상의 프로세스 가스(490)는 예를 들어, 티타늄 테트라클로라이드(TiCl4) 및 암모 니아(NH3)를 포함할 수 있다. 프로세스 가스(490)는 기판(400) 위의 개구부(406) 내에 배리어층(410)을 증착하기 위하여 사용된다. 프로세스 가스는 에칭 종도 포함한다. 에칭 종은 에너지를 얻은 입자를 포함할 수 있다.
도 6b를 참조하여, 프로세스 가스(490) 내의 에칭 종은 개구부의 바닥(406B) 또는 측면(406S)에 증착된 재료에 대한 제거 속도보다 더 빠른 속도로 개구부의 상부(406T) 주위에 증착된 재료를 제거한다. 이는 과도한 증착에 의해 개구부(406)의 상부(406T)가 성급하게 닫히지 않고, 증착이 개구부(406)의 바닥(406B) 또는 측면(406S)에서 진행되도록 한다.
도 6c에서 도시된 것과 같이, 배리어층(410)이 형성된 후에, 개구부(406)는 금속(412)으로 채워진다. 금속층(412)은 프로세스 가스(495)로부터 증착된다. 금속 층(412)은 개구부(406) 내에 미리 형성된 배리어층(410) 위에 증착된다. 증착되는 금속층에 따라, 프로세스 가스(490)의 구성물은 프로세스 가스(495)와 유사한 구성물을 가질 수 있다. 다시 프로세스 가스(495)의 에칭 종은, 개구부(406)가 도 6d에 도시된 것과 같이 "바닥부터 충진되는"(bottom-up)방식으로 채워지도록, 개구부(406)의 상부(406T) 주위에 증착된 과도한 금속(412)을 제거한다.
트렌치 절연체 구조
도 8a-8b는 트렌치 절연 재료로서 산화물층을 통합하는 집적회로 제조 공정의 상이한 단계들에서 기판(800)의 개략적인 단면도를 도시한다. 일반적으로, 기판(800)은 프로세싱이 수행되는 어떠한 공작물을 의미하고, 기판 구조물(850)은 일 반적으로 기판(800)에 형성된 다른 재료층과 함께 기판을 표시하는 것으로 사용된다. 특정 프로세싱 단계에 따라, 기판(800)은 실리콘 기판 또는 그 기판 상에 형성된 다른 재료층 일 수 있다.
도 8a는 예를 들어, 트렌치 구조물(850)의 단면도를 도시한다. 트렌치 구조물은 활성 반도체 영역(803) 및 트렌치 영역(804)을 포함한다. 트렌치 영역(804)은 약 5 내지 10 미크론의 깊이를 가질 수 있다.
도 8b는 도 8a의 트렌치 구조물(850)에 형성되는 산화물층(805)을 묘사한다. 산화물층(805)은 트렌치 구조물(850)의 트렌치 영역(804)을 가득 채운다.
산화물층(804)은 실란 복합물, 산소 공급원, 및 불활성 가스를 포함하는 가스 혼합물을 반응시킴으로써 형성되는 낮은 유전율의 유기실리케이트와 같은 실리케이트일 수 있다. 실란 복합물은 a가 1 내지 4의 범위를 가지는 일반식 Si(CH3)aH4-a 를 가질 수 있다. 예를 들어, 메틸실란(SiCH6), 디메틸실란(SiC2H8), 트리메틸실란(SiC3H10) 및 테트라메틸실란(SiC4H12)이 유기실란 복합물로서 사용될 수 있다.
특히, 산소(O2), 오존(O3), 아산화질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 또는 이들의 결합물이 산소 공급원으로 사용될 수 있다. 특히, 헬륨(He), 아르곤(Ar), 및 이들의 결합물이 불활성 가스로서 사용될 수 있다.
일반적으로, 이하의 증착 프로세스 파라미터는 도 5에서 도시된 것과 유사한 CVD 챔버를 사용하여 실리케이트층을 형성하는데 사용될 수 있다. 프로세스 파라 미터는 약 50℃ 내지 약 250℃ 의 웨이퍼 온도, 약 1 torr 내지 약 500 torr 의 챔버 압력, 약 50 sccm 내지 약 1000 sccm 의 실란 복합물의 가스 유량, 약 10 sccm 내지 약 1000 sccm 의 산소 공급원 가스 유량, 및 약 1000 sccm 내지 약 10,000 sccm 의 불활성 가스 유량의 변동폭을 갖는다. 상기 프로세스 파라미터는 어플라이드 머티어리얼스 사로부터 이용 가능한 증착 챔버 내의 200 mm 기판 상에서 수행될 때, 유기실리케이트층에 대해 약 0.1 미크론/분 내지 약 2 미크론/분의 증착 속도를 제공한다.
증착되는 산화물 재료의 복합물에 따라, 가스를 포함하는 불소 및/또는 염소가 에칭 종으로 사용될 수 있다. 예를 들어 특히, 육불화황(SF6), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH 2FCl)이 사용될 수 있다.
에칭 종의 평행 운반은 바람직하게는 에칭 종이 기판을 향해 이동할 때, 에칭 종에 의해 발생되는 충돌 횟수 및/또는 충돌 빈도수를 감소시킨다. 가스의 불규칙적인 비-방향성 운동에너지가 기판을 향하는 운동에너지로 변환될 수 있다. 그에 따라, 에칭 종은 가장 활성화된 화학적 상태로 고 종횡비 구조물의 상부에 도달하기 용이하게 되며, 이에 따라 그러한 영역에 에칭을 강화한다.
에칭 종을 위한 충분한 평행화를 제공하기 위하여, 원거리 공급원(280)(도 5)의 압력은 CVD 챔버의 압력보다 크다. 이러한 조건 하에서, 구멍(172)을 통한 에칭 종의 흐름은 기판(290)을 향하게 된다. 원거리 공급원(280)의 가스 압력은 예를 들어, 약 1 torr 내지 10 torr 의 범위 내에 있을 수 있고, CVD 챔버의 압력은 예를 들어, 약 1 militorr 내지 약 1 torr 의 범위 내에 있을 수 있다.
도 8b를 참조하면, 프로세스 가스 내의 에칭 종은 개구부의 바닥 또는 측면에 증착된 재료보다 개구부의 상부(804) 주위에 증착된 재료(805)를 보다 빠른 속도록 제거한다. 이는 과도한 증착에 의해 상부 영역이 성급하게 닫히지 않게 하고, 증착이 트렌치(804)의 바닥 또는 측면에서 진행되도록 한다.
비록 본 발명의 기술을 포함하는 바람직한 실시예가 소개되고 상세하게 설명되었지만, 당업자는 이러한 기술을 포함하는 여러 다른 실시예들을 용이하게 생각할 수 있다.

Claims (29)

  1. 박막 증착 방법으로서:
    고 종횡비 피쳐를 가지는 기판을 증착 챔버 내에 위치시키는 단계;
    원거리 전원 공급원에 의해서 원거리 챔버 내에서 하나 이상의 화학적 에칭 종을 이온화하는 단계;
    상기 증착 챔버에 가스 혼합물을 제공하는 단계로서, 상기 가스 혼합물이 하나 이상의 프로세스 가스 및 하나 이상의 이온화된 화학적 에칭 종을 포함하는, 가스 혼합물을 제공 단계; 및
    상기 하나 이상의 프로세스 가스로부터 재료층을 상기 기판에 증착하는 단계로서, 상기 가스 혼합물 내의 상기 이온화된 화학적 에칭 종은 상기 고 종횡비 피쳐에 인접하여 증착된 재료층 부분을 선택적으로 제거하는, 재료층 증착 단계를 포함하는
    박막 증착 방법.
  2. 제 1 항에 있어서,
    상기 고 종횡비 피쳐의 폭에 대한 높이의 비율은 4:1보다 큰,
    박막 증착 방법.
  3. 제 1 항에 있어서,
    상기 하나 이상의 이온화된 화학적 에칭 종은 상기 기판을 향해 평행화 방식(collimated manner)으로 상기 에칭 종의 진행 방향을 안내할 수 있는 하나 이상의 구멍을 통해 상기 챔버로 제공되는,
    박막 증착 방법.
  4. 제 3 항에 있어서,
    상기 구멍 상류의 제 1 압력 및 상기 구멍 하류의 제 2 압력을 포함하는 압력 편차가 상기 구멍을 가로질러 존재하는,
    박막 증착 방법.
  5. 제 4 항에 있어서,
    제 1 압력은 상기 제 2 압력보다 큰,
    박막 증착 방법.
  6. 제 3 항에 있어서,
    상기 하나 이상의 구멍이 상기 기판에 대하여 상대적으로 이동 가능한,
    박막 증착 방법.
  7. 제 6 항에 있어서,
    상기 하나 이상의 에칭 구멍은 0.1 cm/분 보다 큰 속도로 상기 기판에 대하여 상대적으로 이동하는,
    박막 증착 방법.
  8. 제 1 항에 있어서,
    상기 증착 챔버는 화학 기상 증착(CVD) 챔버 또는 물리 기상 증착(PVD) 챔버인,
    박막 증착 방법.
  9. 제 1 항에 있어서,
    상기 재료층은 금속, 금속 질화물 및 산화물로 구성되는 그룹으로부터 선택된 재료로 형성되는,
    박막 증착 방법.
  10. 상호연결 구조물을 형성하는 방법으로서:
    고 종횡비 피쳐를 가지는 기판을 증착 챔버 내에 위치시키는 단계;
    원거리 전원 공급원에 의해서 원거리 챔버 내에서 하나 이상의 화학적 에칭 종을 이온화하는 단계;
    상기 증착 챔버로 제 1 가스 혼합물을 제공하는 단계로서, 상기 제 1 가스 혼합물이 하나 이상의 제 1 프로세스 가스 및 하나 이상의 이온화된 화학적 제 1 에칭 종을 포함하는, 제 1 가스 혼합물을 제공 단계; 및
    상기 하나 이상의 프로세스 가스로부터 배리어층을 상기 기판에 증착하는 단계로서, 상기 제 1 가스 혼합물 내의 상기 이온화된 화학적 제 1 에칭 종은 상기 고 종횡비 피쳐에 인접하여 증착된 배리어층의 부분을 선택적으로 제거하는, 배리어층 증착 단계를 포함하는,
    상호연결 구조물을 형성하는 방법.
  11. 제 10 항에 있어서,
    상기 증착 챔버로 제 2 가스 혼합물을 제공하는 단계; 및
    상기 배리어층에 금속층을 증착하는 단계를 더 포함하며,
    상기 제 2 가스 혼합물은 하나 이상의 제 2 프로세스 가스 및 하나 이상의 이온화된 화학적 제 2 에칭 종을 포함하며, 상기 제 2 가스 혼합물 내의 상기 이온화된 화학적 제 2 에칭 종은 상기 고 종횡비 피쳐에 인접하여 증착된 금속층의 부분을 선택적으로 제거하는,
    상호연결 구조물을 형성하는 방법.
  12. 제 10 항에 있어서,
    상기 고 종횡비 피쳐의 높이 대 폭의 비율이 4:1 보다 큰,
    상호연결 구조물을 형성하는 방법.
  13. 제 11 항에 있어서,
    상기 이온화된 화학적 제 1 에칭 종 및 상기 이온화된 화학적 제 2 에칭 종은 상기 기판을 향해 평행화 방식으로 상기 에칭 종의 진행 방향을 안내할 수 있는 하나 이상의 구멍을 통해 상기 챔버로 제공되는,
    상호연결 구조물을 형성하는 방법.
  14. 제 13 항에 있어서,
    상기 구멍 상류의 제 1 압력 및 상기 구멍 하류의 제 2 압력을 포함하는 압력 편차가 상기 구멍을 가로질러 존재하는,
    상호연결 구조물을 형성하는 방법.
  15. 제 14 항에 있어서,
    제 1 압력은 상기 제 2 압력보다 큰,
    상호연결 구조물을 형성하는 방법.
  16. 제 10 항에 있어서,
    상기 증착 챔버는 화학 기상 증착(CVD) 챔버 또는 물리 기상 증착(PVD) 챔버인,
    상호연결 구조물을 형성하는 방법.
  17. 제 10 항에 있어서,
    상기 배리어층은 금속 및 금속 질화물로 구성되는 그룹으로부터 선택되는 재료로 형성되는,
    상호연결 구조물을 형성하는 방법.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 제 1 항에 있어서,
    상기 하나 이상의 이온화된 화학적 에칭 종들이 불소 함유 가스, 염소 함유 가스, 그리고 불소 및 염소를 함유한 가스로 구성되는 그룹으로부터 선택되는,
    박막 증착 방법.
  25. 제 24 항에 있어서,
    상기 하나 이상의 이온화된 화학적 에칭 종들이 육불화황(SF6), 사염화탄소(CCl4), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH2FCl)을 포함하는 그룹으로부터 선택되는,
    박막 증착 방법.
  26. 제 12 항에 있어서,
    상기 하나 이상의 이온화된 화학적 제 1 및 제 2 에칭 종들이 불소 함유 가스, 염소 함유 가스, 그리고 불소 및 염소를 함유한 가스로 구성되는 그룹으로부터 선택되는,
    상호연결 구조물을 형성하는 방법.
  27. 제 26 항에 있어서,
    상기 하나 이상의 이온화된 화학적 제 1 및 제 2 에칭 종들이 육불화황(SF6), 사염화탄소(CCl4), 트리플로루메탄(CHF3), 디플루오로메탄(CH2F2), 및 플루오로클로로메탄(CH2FCl)을 포함하는 그룹으로부터 선택되는,
    상호연결 구조물을 형성하는 방법.
  28. 제 5 항에 있어서,
    제 1 압력이 1 내지 10 torr이고 그리고 제 2 압력이 1 millitorr 내지 1 torr인,
    박막 증착 방법.
  29. 제 15 항에 있어서,
    제 1 압력이 1 내지 10 torr이고 그리고 제 2 압력이 1 millitorr 내지 1 torr인,
    상호연결 구조물을 형성하는 방법.
KR1020047005273A 2001-10-09 2002-09-24 재료층을 증착하는 방법 KR100960761B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/974,535 2001-10-09
US09/974,535 US7115516B2 (en) 2001-10-09 2001-10-09 Method of depositing a material layer
PCT/US2002/030278 WO2003031674A1 (en) 2001-10-09 2002-09-24 Method of depositing a material layer

Publications (2)

Publication Number Publication Date
KR20040048949A KR20040048949A (ko) 2004-06-10
KR100960761B1 true KR100960761B1 (ko) 2010-06-01

Family

ID=25522146

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047005273A KR100960761B1 (ko) 2001-10-09 2002-09-24 재료층을 증착하는 방법

Country Status (5)

Country Link
US (3) US7115516B2 (ko)
JP (1) JP2005505925A (ko)
KR (1) KR100960761B1 (ko)
CN (1) CN100471984C (ko)
WO (1) WO2003031674A1 (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US7404877B2 (en) * 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
US6884327B2 (en) * 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US7826702B2 (en) * 2002-08-27 2010-11-02 Springworks, Llc Optically coupling into highly uniform waveguides
WO2004077519A2 (en) * 2003-02-27 2004-09-10 Mukundan Narasimhan Dielectric barrier layer films
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
CN100341047C (zh) * 2003-09-17 2007-10-03 新科实业有限公司 薄膜形成方法和系统
JP5011631B2 (ja) * 2004-06-01 2012-08-29 富士ゼロックス株式会社 半導体製造装置および半導体製造システム
JP5095412B2 (ja) 2004-12-08 2012-12-12 シモーフィックス,インコーポレーテッド LiCoO2の堆積
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100800799B1 (ko) * 2005-12-28 2008-02-04 동부일렉트로닉스 주식회사 Pvd를 이용한 반도체 기판 상 금속박막 증착 방법
KR20090069323A (ko) 2006-09-29 2009-06-30 인피니트 파워 솔루션스, 인크. 가요성 기판의 마스킹 및 가요성 기판에 배터리 층을 증착하기 위한 재료의 구속
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US7589020B2 (en) * 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7776733B2 (en) * 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR20100102180A (ko) 2007-12-21 2010-09-20 인피니트 파워 솔루션스, 인크. 전해질 막을 위한 표적을 스퍼터링하는 방법
KR101606183B1 (ko) 2008-01-11 2016-03-25 사푸라스트 리써치 엘엘씨 박막 배터리 및 기타 소자를 위한 박막 캡슐화
US8350519B2 (en) 2008-04-02 2013-01-08 Infinite Power Solutions, Inc Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
JP5650646B2 (ja) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
WO2010042594A1 (en) 2008-10-08 2010-04-15 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8129270B1 (en) * 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
CN102576828B (zh) 2009-09-01 2016-04-20 萨普拉斯特研究有限责任公司 具有集成薄膜电池的印刷电路板
US20110108792A1 (en) * 2009-11-11 2011-05-12 International Business Machines Corporation Single Crystal Phase Change Material
US8017432B2 (en) * 2010-01-08 2011-09-13 International Business Machines Corporation Deposition of amorphous phase change material
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP2013528912A (ja) 2010-06-07 2013-07-11 インフィニット パワー ソリューションズ, インコーポレイテッド 再充電可能高密度電気化学素子
KR20120012593A (ko) 2010-08-02 2012-02-10 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321556A (ja) 1997-05-17 1998-12-04 Tokyo Electron Ltd 成膜方法
JPH10340900A (ja) 1997-06-03 1998-12-22 Applied Materials Inc 低誘電率膜用高堆積率レシピ

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
WO1985003460A1 (en) 1984-02-13 1985-08-15 Schmitt Jerome J Iii Method and apparatus for the gas jet deposition of conducting and dielectric thin solid films and products produced thereby
US5108543A (en) * 1984-11-07 1992-04-28 Hitachi, Ltd. Method of surface treatment
US4851308A (en) * 1988-01-19 1989-07-25 Chronar Corp. Solid-state energy storage cell wherein the electrolyte comprises an organic support and an inorganic salt
US4874493A (en) 1988-03-28 1989-10-17 Microelectronics And Computer Technology Corporation Method of deposition of metal into cavities on a substrate
KR0170391B1 (ko) 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
JPH047825A (ja) * 1990-04-25 1992-01-13 Sony Corp 半導体装置の製造方法
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5256205A (en) 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5203978A (en) * 1991-11-14 1993-04-20 The Dow Chemical Company Membrane-electrode structure for electrochemical cells
US5290358A (en) 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07268622A (ja) * 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
US5650197A (en) * 1994-03-11 1997-07-22 Jet Process Corporation Jet vapor deposition of organic molecule guest-inorganic host thin films
US5759634A (en) 1994-03-11 1998-06-02 Jet Process Corporation Jet vapor deposition of nanocluster embedded thin films
US5571332A (en) 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5893758A (en) * 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5963808A (en) * 1997-01-15 1999-10-05 Macronix International Co., Ltd. Method of forming an asymmetric bird's beak cell for a flash EEPROM
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6582617B1 (en) * 1997-02-28 2003-06-24 Candescent Technologies Corporation Plasma etching using polycarbonate mask and low-pressure high density plasma
US5972235A (en) * 1997-02-28 1999-10-26 Candescent Technologies Corporation Plasma etching using polycarbonate mask and low pressure-high density plasma
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US5814564A (en) 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6176983B1 (en) 1997-09-03 2001-01-23 Vlsi Technology, Inc. Methods of forming a semiconductor device
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6144894A (en) * 1998-02-13 2000-11-07 Applied Materials, Inc. Method of activating a magnetron generator within a remote plasma source of a semiconductor wafer processing system
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6417013B1 (en) * 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6117781A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
JP2000345350A (ja) 1999-06-07 2000-12-12 Tatsuo Morita 薄膜半導体製造装置
US6211040B1 (en) * 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321556A (ja) 1997-05-17 1998-12-04 Tokyo Electron Ltd 成膜方法
JPH10340900A (ja) 1997-06-03 1998-12-22 Applied Materials Inc 低誘電率膜用高堆積率レシピ

Also Published As

Publication number Publication date
JP2005505925A (ja) 2005-02-24
US20060223323A1 (en) 2006-10-05
US7115516B2 (en) 2006-10-03
US20060223322A1 (en) 2006-10-05
CN1568376A (zh) 2005-01-19
WO2003031674A1 (en) 2003-04-17
CN100471984C (zh) 2009-03-25
US7772121B2 (en) 2010-08-10
US20040077161A1 (en) 2004-04-22
KR20040048949A (ko) 2004-06-10
US7427568B2 (en) 2008-09-23

Similar Documents

Publication Publication Date Title
KR100960761B1 (ko) 재료층을 증착하는 방법
JP5702154B2 (ja) 滑らかで凝集しないCuシード層を用いた気泡の存在しない凹部のCu充填体
JP5253149B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US6936906B2 (en) Integration of barrier layer and seed layer
CN113166929A (zh) 无空隙低应力填充
US20030049931A1 (en) Formation of refractory metal nitrides using chemisorption techniques
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
JP2008537979A (ja) プラズマ加速原子層成膜のシステムおよび方法
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
WO2016153987A1 (en) Methods for etching via atomic layer deposition (ald) cycles
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
KR20220044601A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소
US20240102157A1 (en) Plasma-enhanced molybdenum deposition
TW202413683A (zh) 電漿增進之鉬沉積
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee