KR100912478B1 - 에칭처리장치 및 에칭처리방법 - Google Patents

에칭처리장치 및 에칭처리방법 Download PDF

Info

Publication number
KR100912478B1
KR100912478B1 KR1020020051206A KR20020051206A KR100912478B1 KR 100912478 B1 KR100912478 B1 KR 100912478B1 KR 1020020051206 A KR1020020051206 A KR 1020020051206A KR 20020051206 A KR20020051206 A KR 20020051206A KR 100912478 B1 KR100912478 B1 KR 100912478B1
Authority
KR
South Korea
Prior art keywords
etching
recipe
film
processing
delete delete
Prior art date
Application number
KR1020020051206A
Other languages
English (en)
Other versions
KR20030095936A (ko
Inventor
가고시마아키라
요시가이모토히코
야마모토히데유키
시라이시다이스케
다나카준이치
다마키겐지
모리오카나츠요
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20030095936A publication Critical patent/KR20030095936A/ko
Application granted granted Critical
Publication of KR100912478B1 publication Critical patent/KR100912478B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45212Etching, engraving, sculpturing, carving

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 목적은 피드백제어를 행할 때에 생기는 예기치 않은 부작용에 대한 염려를 경감하여 막대한 노동력, 시간을 들이지 않고 제어모델을 구축할 수 있는 에칭처리방법을 제공하는 것이다.
단일의 피에칭막(113)에 대하여 각각 다른 레시피를 적용한 복수의 에칭단계로 이루어지는 에칭처리를 실시하는 에칭처리장치로서, 이 에칭처리장치는 상기 에칭단계 중 상기 피에칭막에 접하는 하층막에 영향을 미치는 최후의 에칭단계(단계 5)에 적용하는 레시피를 미리 설정한 레시피에 고정하고, 나머지 에칭단계(단계 4)에 적용하는 레시피를 처리결과를 기초로 생성하는 레시피생성수단을 구비하여 상기 레시피생성수단이 생성한 레시피를 기초로 에칭처리를 실시한다.

Description

에칭처리장치 및 에칭처리방법{ETCHING PROCESSING APPARATUS AND ETCHING PROCESSING METHOD}
도 1은 본 발명의 실시형태에 관한 에칭처리장치를 나타내는 도,
도 2는 게이트전극형성 프로세스를 나타내는 도,
도 3은 에칭처리장치의 처리를 설명하는 도,
도 4는 산소유량에 대한 CD값 제어성을 나타내는 도,
도 5는 레시피의 조정방법을 설명하는 도,
도 6은 산소유량을 변화시켰을 때의 CD값의 제어기구를 설명하는 도,
도 7은 에칭처리장치의 변형예를 나타내는 도,
도 8은 CD값의 제어플로우를 나타내는 도,
도 9는 CD값의 제어플로우의 다른 예를 나타내는 도,
도 10은 게이트길이의 결정요인에 대하여 설명하는 도,
도 11은 막두께 모니터의 사용방법을 설명하는 도면이다.
※도면의 주요부분에 대한 부호의 설명
1 : 플라즈마 에칭처리실 1a : 시료대
1b : 웨이퍼 1c : 플라즈마
2 : 센서 3 : 부가센서
4 : 엑츄에이터 5 : 레시피
6 : 제어모델부 7 : 검사장치
8, 9, 10 : 웨이퍼 11 : CD값 제어모델
111 : 실리콘기판 112 : 게이트절연막
113 : 다결정 실리콘막 114 : 마스크
115 : 자연산화막 201 : 처리챔버
202 : 가스공급수단 203 : 가스배기수단
204 : 시료 205 : 시료대
206 : 플라즈마생성수단 208 : 장치상태 검출수단
209 : 제어장치 210 : 검사장치
300 : 처리장치 본체 301 : 전자파 공급수단
302, 303 : 코일
본 발명은 에칭처리장치 및 에칭처리방법에 관한 것으로, 특히 외란에 의한 영향을 억제할 수 있는 에칭처리장치 및 에칭처리방법에 관한 것이다.
플라즈마를 이용한 에칭처리장치는 예를 들면 진공처리실내에 에칭가스를 도입하고, 감압하에서 플라즈마방전을 발생시켜 이 플라즈마 중에 발생하는 래디컬 또는 이온을 피처리물인 웨이퍼 표면으로 유도하여 웨이퍼표면에서 반응시킴으로써, 웨이퍼 표면을 에칭처리한다. 이와 같은 처리(건식 처리)를 행하는 에칭처리장치는, 미리 설정한 레시피라 불리우는 제조조건(가스유량, 가스압력, 투입전력, 에칭시간 등)에 의거하여 에칭처리를 실시한다.
상기 레시피는 예를 들면 하나의 프로세스를 수 단계로 분할하여 단계별로 제조조건을 변경하는 포토마스크의 제조프로세스 등을 제외하고, 반도체장치의 특정한 동일 프로세스로 이루어지는 제조공정(단일의 피에칭막에 대한 에칭처리공정)에 있어서는 일정하게 유지하는 것이 보통이다.
그러나 일정한 레시피를 이용한 일정한 에칭처리를 행하여도 장치의 경시변화 등의 여러가지의 외란에 의하여 일정한 성능을 항상 얻는 것은 곤란하다.
따라서 외란을 억제하는 방법으로서, 예를 들면 일본국 특원2001-198830에는 처리결과를 모니터하여 모니터결과를 기초로 레시피로 피드백하는 방법이 개시되어 있다.
반도체웨이퍼 등의 시료에 대한 처리결과를 모니터하고, 모니터결과를 레시피에 피드백하는 경우, 상기 레시피의 구성요소로서는 가스유량, 압력, 투입전력, 에칭시간 등의 수많은 파라미터가 존재한다. 이들 파라미터 중으로부터 제어에 최적의 파라미터를 특정하고, 또한 특정한 파라미터를 사용하여 제어모델을 구축하는 데는 막대한 노력, 시간을 들여 다수의 실험이나 시뮬레이션을 행하는 것이 필요하게 된다.
또 상기 최적의 제어모델을 구축하였다 하여도 예기치 못한 부작용이 생길 가능성이 있다. 예를 들면 FET(Field Effect Transistor)의 게이트전극을 형성하는 게이트 에칭공정에서는 디바이스성능에 영향을 미치지 않기 때문에, 피에칭막의 바로 밑에 있는 얇은 게이트절연막에 장해를 주지 않는 에칭프로세스가 요구된다. 그러나 상기와 같이 모니터결과를 레시피에 피드백한 결과, 피에칭막과 게이트절연막과의 선택비가 악화되는 방향으로 장치의 특성이 변동하는 경우는 게이트절연막까지도 가공하게 되어 게이트절연막에 장해를 주게 된다.
즉, 여러가지의 외란을 피드백제어에 의하여 억제하는 것을 상정하고 있어도 실제의 제조공정에서 실현하는 데에는 막대한 노력, 시간이 필요하게 되고, 또한 예기치 못한 부작용의 염려는 씻을 수 없다. 본 발명은 이들 문제점을 감안하여 이루어진 것으로, 특히 피드백제어를 행할 때에 예기치 못한 부작용에 대한 염려를 경감하고, 막대한 노동력, 시간을 들이지 않고 제어모델을 구축할 수 있는 에칭처리방법을 제공한다.
본 발명은 상기한 과제를 해결하기 위하여 다음과 같은 수단을 채용하였다.
단일의 피에칭막에 대하여 각각 다른 레시피를 적용한 복수의 에칭단계로 이루어지는 에칭처리를 실시하는 에칭처리장치로서, 이 에칭처리장치는 상기 에칭단계 중 상기 피에칭막에 접하는 하층막에 영향을 미치는 최후의 에칭단계에 적용하는 레시피를 미리 설정한 레시피에 고정하고, 나머지 에칭단계에 적용하는 레시피를 처리결과를 기초로 생성하는 레시피생성수단을 구비하고, 이 레시피생성수단이 생성한 레시피를 기초로 에칭처리를 실시한다.
이하, 본 발명의 실시형태를 첨부도면을 참조하면서 설명한다. 도 1은 본 발명의 실시형태에 관한 에칭처리장치를 나타내는 도면이다. 도면에 있어서 에칭처리장치의 본체(300)는 처리챔버(처리용기)(201)와, 처리챔버내에 처리가스를 공급하는 가스공급수단(202)과, 처리가스를 배기하여 처리챔버내의 압력을 제어하는 가스배기수단(203)을 구비한다. 또한 처리챔버(201)내에는 처리대상이 되는 시료(204)를 지지하는 시료대(205)가 설치되어 있고, 또 처리챔버내에는 플라즈마를 생성하기 위한 플라즈마생성수단(206)이 구비된다.
플라즈마생성수단은 처리챔버(201)내에 전자파를 전송하여 공급하는 전자파 공급수단(301), 처리챔버(201)내에 자장을 생성하기 위한 솔레노이드코일(302, 303)을 구비한다. 또 시료대(205)에는 발생한 플라즈마에 의하여 발생하는 반응물을 시료측을 향하게 하기 위하여 고주파 전원(304)으로부터 고주파의 전압이 인가된다.
이 건식 에칭장치에는 장치상태 검출수단(208)이 설치되어 있다. 장치상태 검출수단(208)은 예를 들면 가스공급수단(202)으로부터 공급되는 가스유량을 검출하는 모니터, 플라즈마생성을 위한 전력을 공급하는 급전로의 전류 및 전압을 검출하는 검출기, 상기 전류 및 전압의 위상차를 검출하는 검출기, 플라즈마생성을 위하여 공급하는 고주파전력의 진행파 및 반사파를 검출하는 검출기, 임피던스모니터 등으로 이루어진다.
장치상태 검출수단(208)은 처리챔버(201)내에 플라즈마생성수단(206)에 의하여 생성되는 플라즈마로부터의 발광을 검출하여 이것을 분석하는 분석장치를 구비하고 있다. 장치상태 검출수단(208)은 파장분해된 발광스펙트럼을 출력하는 분광기와 같이 다수의 신호를 출력하는 검출기가 바람직하나, 모노크로미터와 같은 단일파장의 빛을 인출하는 검출기이더라도 좋다. 분광기 출력의 발광스펙트럼은 각 파장마다의 광강도를 나타내는 신호이다. 또 장치상태 검출수단(208)은 플라즈마 중 물질의 질량 스펙트럼을 출력하는 4중극 질량분석기 등의 일반적인 플라즈마상태 모니터이더라도 좋다.
또한 본 실시예에서는 상기 장치상태 검출수단(208)으로부터의 출력을 받아 장치의 운전을 조절하는 제어장치(209)를 구비하고 있다. 이 제어장치(209)는 예를 들면 플라즈마를 발생시키기 위한 전자파나 자계를 발생시키는 마그네트론 등을 구비한 플라즈마발생수단(206)에 대한 투입전력의 투입 및 차단, 또는 투입전력의 조절을 행한다. 또 다른 수단을 사용하여 발생하는 플라즈마의 출력을 조절할 수도 있다. 예를 들면 장치상태 검출수단(208)은 플라즈마를 이용하여 시료를 처리하고 있는 사이에 발생하는 소정 파장의 빛을 검출한 검출 데이터를 기초로 처리에 관한 특정한 반응량의 증감, 반응속도나 플라즈마의 세기 등의 반응상태를 검출하여 플라즈마의 발생·정지, 장치의 기동·정지를 지령을 발하여 장치의 운전을 조절할 수 있다.
또 제어장치(209)는 에칭처리장치와는 따로 또는 통합하여 설치되어 있는 검사장치(210)로부터의 출력을 받아 처리장치의 운전을 조절할 수 있다. 검사장치 (210)는 예를 들면 에칭후의 가공형상을 계측하는 CD-SEM(주사형 전자현미경)이 일반적이나, 빛의 산란광을 이용한 스캐터로메트리(scatterometry)라 불리우는 광학식의 가공형상 측정수단이더라도 좋다.
도 2는 본 실시형태에 관한 에칭처리장치의 처리대상이 되는 반도체장치에 있어서의 게이트전극형성 프로세스를 각 처리단계마다 모식적으로 나타내는 도면이다. 도면에 있어서, 110은 실리콘기판(111), 게이트절연막(112), 단일의 피에칭막으로서의 다결정(Poly)실리콘막(113)을 구비한 웨이퍼이고, 이 웨이퍼는 마스크 (114)을 구비하고, 또 웨이퍼 표면에는 자연산화막(115)이 형성되어 있다.
다음에 도 2를 참조하여 에칭처리를 설명한다. 먼저 단계(1)에 있어서, 마스크(104)가 형성된 웨이퍼(110)를 준비한다. 마스크(104)에 덮여져 있지 않은 폴리 실리콘(113)의 표면에는 자연산화막이 형성되어 있기 때문에, 이것을 단계(2)에 있어서 제거(브레이크스루)한다. 단계(3)에 있어서, 높은 에칭율을 유지할 수 있는 레시피를 채용하여 형상 좋게 에칭처리한다(메인 에칭 1). 단계(4)에 있어서, 게이트 절연막과의 선택비를 유지할 수 있는 레시피를 채용하고, 게이트절연막에 영향을 미치지 않도록 가공한다(메인 에칭 2). 단계(5)에 있어서 피에칭막의 잔사를 에칭제거한다(오버 에칭).
또한 상기 에칭처리에 있어서, 「메인 에칭」은 피에칭막을 에칭하는 단계를 나타내고, 자연산화막 등의 피에칭막 표면의 물질을 제거하는 단계(브레이크스루) 및 피에칭막의 잔사를 제거하는 단계(오버 에칭)를 제외한 처리를 나타낸다.
그런데, 장치의 고속화와 저소비 전력화를 도모하기 위하여 도 2에 나타내는 게이트길이(200)는 해마다 짧아지고 있다. 장치의 게이트길이는 장치의 특성을 정하는 중요한 치수이기 때문에 CD(Critical Dimension)값이라 불리우고 있다. 게이트길이가 짧아짐에 따라 게이트 에칭프로세스에서 허용되는 게이트길이의 불균일도 수nm의 오더로 되어 있어, 게이트길이를 안정되게 제조할 수 있는 에칭프로세스는 점점 필요하게 된다. 또 게이트길이 미세화에 따라 게이트절연막도 박막화의 일로를 걷고 있다. 예를 들면 게이트길이가 1OOnm인 경우, 게이트절연막의 막두께는 약 2nm이다. 이와 같은 게이트절연막에 대해서는 게이트절연막의 절연특성의 열화를 피하기 위하여 이 게이트절연막에 대해서는 손상을 주는 일이 없는 에칭프로세스가 필요하게 된다.
일반적으로, 건식 에칭장치는 처리대상으로 하는 워크(시료)의 요구치수, 형상을 만족할 수 있도록 제조(양산)전에 레시피라 불리우는 제조조건(가스유량, 가스압력, 투입전력, 에칭시간 등)을 결정한다. 또한 상기한 바와 같이 포토마스크의 제조프로세스를 제외하고, 제조(양산)에 있어서는 상기 레시피를 항상 일정하게 유지하는 것이 통상이다. 그러나 상기한 바와 같이 일정한 레시피를 이용하여 에칭처리를 행하여도 장치의 경시변화 등의 여러가지의 외란에 의하여 항상 일정한 에칭결과를 얻는 것은 곤란하다.
도 3은 본 실시형태에 관한 에칭처리장치의 처리를 설명하는 도면이다. 도면에 있어서, 1은 플라즈마(1c)를 생성하는 플라즈마에칭처리실, 1b는 처리실내의 웨이퍼스테이지(1a) 위에 탑재한 피처리물인 웨이퍼이다. 2는 장치에 공급하는 가스유량, 압력, 투입전력 등의 처리 중의 프로세스량을 모니터하기 위한 센서이고, 이들 센서는 통상 플라즈마 에칭처리장치에 표준장비된다. 3은 부가센서이고, 예를 들면 플라즈마광의 스펙트럼을 모니터하기 위한 발광분광센서(OES: Optical Emission Spectroscopy), 플라즈마 중의 질량을 분석하기 위한 4중극 질량분석장치 (QMS : Quadrupole Mass Spectrometry) 등으로 이루어진다. 4는 레시피(5)에 따라에칭처리장치를 제어하는 엑츄에이터이다. 6은 검사장치(8)로부터 얻어진 처리결과를 기초로 레시피(5)를 산출하는 제어모델부이고, 본 레시피는 웨이퍼처리마다 또는 처리 중에 변경 가능하다.
검사장치(7)는 보통, CD-SEM이 일반적이나, 에칭처리장치와는 다른 설치의 빛의 산란광을 이용하여 치수, 형상을 측정하는 스캐터로메트리(광산란식 형상계측수단)이어도 좋다. 또 이 장치를 에칭처리장치와 일체화하여 조립하여도 좋다.
전공정으로부터 공급된 웨이퍼(8)는 플라즈마에칭처리실(1)에 공급된다. 공급된 웨이퍼는 복수매의 로드단위로 처리되어 에칭가공된 웨이퍼(9)가 된다. 웨이퍼(9)는 그 에칭(가공)결과를 검사하기 위하여 CD-SEM 등의 검사장치(7)에 공급된다. 검사된 웨이퍼(10)는 다음공정으로 반송되게 된다.
검사장치(7)에서 검사된 결과(CD값)는, 목표값으로부터의 편차를 계산하여 제어모델부(6)에 출력된다. 제어모델부(6)에서는 미리 실험 또는 시뮬레이션을 사용하여 구축된 CD값 제어모델(11)을 기초로 대략 동일한 정도의 프로세스를 사용하는 다음처리 웨이퍼의 레시피가 계산되고, 그 레시피에 의하여 다음의 에칭이 개시된다.
이와 같은 피드백제어에 있어서 염려되는 것은 상기한 제어에 의한 부작용이다. 즉 게이트 에칭공정에서는 피에칭막의 밑에 있는 얇은 게이트절연막에 손상을 주지 않는 에칭프로세스가 요구된다. 그러나 상기와 같은 레시피의 조정을 포함하는 제어를 행하는 경우에는 피에칭막과 게이트절연막과의 선택비가 악화되는 방향으로 변동하는 일이 있고, 이 경우는 게이트절연막까지도 가공된다는 부작용이 발생한다.
도 4는 상기 메인 에칭(1)에 있어서의 산소(O2)유량에 대한 CD값 제어성을 나타내는 도면이다. 도면에 나타내는 바와 같이 선형의 제어성을 나타내고 있고, 메인 에칭(1)에 있어서의 산소(O2)의 유량제어는 CD값 제어에 대하여 유효한 제어파라미터임을 알 수 있다. 또 메인 에칭(1)에 있어서는 그 에칭 중에 게이트절연막은 노출하지 않기 때문에, 게이트절연막에 가해지는 부작용은 고려할 필요는 없다. 도 4의 예에서는 제어요인과 결과가 선형의 관계에 있으나, 이 관계가 선형이 아닌 경우에도 본 발명은 적용할 수 있다.
즉, 여러가지의 외란에 대하여 항상 일정한 결과를 얻고자 하는 에칭프로세서제어에 있어서, 게이트절연막에 손상을 주지 않도록 제어하기 위해서는 메인 에칭 (2)과 오버에칭 등의 게이트절연막이 노출될 염려가 있는 단계의 레시피는 고정으로 하고, 브레이크스루 또는 메인 에칭(1) 등의 게이트절연막이 노출되지 않는(노출될 염려가 없는)단계에 있어서의 적어도 하나의 단계를 대상으로 하여, 그 단계에 있어서의 적어도 하나의 파라미터를 가변 파라미터로 하여 피드백제어(레시피조정)를 행한다. 예를 들면 상기한 바와 같이 산소(O2)를 제어파라미터로 한 경우는 도 5에 나타내는 바와 같이 메인 에칭(1)(ME1)의 산소(O2) 이 외의 레시피를 고정하여 산소(O2)의 유량만을 가변으로 한다.
도 6은 메인 에칭(1)에 있어서, 산소(O2)의 유량을 변화시켰을 때의 CD값의 제어기구를 설명하는 도면이다. 메인 에칭처리(1)(피에칭막의 상층부에 대한 에칭, ME1) 중에 있어서의 산소(O2)의 유량을 증가시키면 피에칭재의 측벽에의 퇴적이 증가하여 측벽 보호막이 형성된다. 이 때문에 메인 에칭처리의 완료후에 형성되는 게이트치수(CD값)는 산소(O2)의 유량의 증가전에 비하여 수 nm정도 굵게 형성된다. 또한, 상기와는 반대로 산소(O2)의 유량을 줄임으로써 CD값을 작게 할 수 있다.
다음에 메인 에칭처리(2)(피에칭막의 하층부에 대한 에칭, ME2) 중에 있어서는 메인 에칭처리(1) 완료시에 형성된 측벽 보호막이 작용한다. 이 때문에 메인 에칭처리(2)에 있어서의 당초의 치수 및 형상은 메인 에칭처리(1) 완료시의 치수 및 형상을 계승하게 되어, 결과적으로 CD값은 수 nm 굵게 형성된다.
장치의 특성을 좌우하는 게이트길이로서 중요한 부분(CD값)은, 에칭된 폴리실리콘층의 최하부(밑단부)의 치수이므로, 이 부분의 치수를 안정되게 가공하는 것이 중요하다.
여기서 중요한 것은 가변 파라미터로서의 산소(O2)의 유량을 무제한으로 변동시키는 것은 할 수 없는 것이다. 예를 들면 전회 에칭의 CD값이 목표값에 대하여 10nm 정도 가늘어졌을 경우, 도 4에 나타내는 산소(O2)유량에 대한 CD제어성을 기초로 필요로 하는 산소(O2)유량을 산출하면, 이 유량은 미리 설정한 가변 파라미 터의 제어범위를 초과하게(과잉제어) 된다. 이 경우 산소(O2)의 유량을 그것에 적합하게 증가할 수는 없다. 왜냐하면 산소(O2)를 지나치게 증가함으로써, 장치성능상 문제가 되는 다른 부작용이 발생할 가능성이 있기 때문이다. 따라서 산소(O2)의 유량을 가변 파라미터로 하는 경우는, 미리 상한 하한을 정하여 두고, 레시피계산시에 산소 (O2)의 유량이 그 값을 초과한 경우, 경보를 출력하는, 또는 에칭처리를 정지하는, 또는 상기 유량을 상한값 또는 하한값으로 실행하는 등의 대응이 필요하게 된다.
또한 본 실시형태에서는 산소(O2)를 가변 파라미터로서 선택하였으나, 가변 파라미터로서는 에칭시간, 피처리 기판에 인가되는 RF 또는 펄스바이어스파워, 에칭가스유량비(Cl2/(HBr + Cl2)), N2 등의 첨가가스의 가스유량을 채용할 수 있다. 또한 에칭시간을 가변 파라미터로 하는 경우에는, 처리 중의 막두께를 모니터하는 막두께 모니터를 병용하여 초기막 두께의 차이를 흡수할 수 있다. 또 본 발명의 대상이 되는 높은 정밀도의 에칭처리에 있어서는, 막두께 모니터에 의하여 모든 단계의 처리막 두께를 설정값으로 유지하는 연구를 하는 것이 바람직하다. 또한 이들 가변 파라미터를 제어하는 경우도 과잉제어에 대한 대응을 위하여 가변 파라미터의 가변범위를 설정하여 두는 것은 중요한 일이다.
도 7은 본 실시형태에 관한 에칭처리장치의 변형예를 설명하는 도면이다. 또한, 도면에 있어서 도 3에 표시되는 부분과 동일부분에 대해서는 동일부호를 붙이고 그 설명을 생략한다. 에칭처리에 의한 가공결과는 에칭처리 중의 처리실내 환경과 밀접하게 관계되어 있음이 알려져 있다. 따라서 처리실내의 상태를 센서(2)나 부가센서(3)로 모니터링하고, 이 상태를 기초로 가공결과 추정부(20)에서 가공상태를 추정할 수 있다. 가공상태의 추정에는 사전에 실험이나 시뮬레이션을 행하여 그 결과를 기초로 구축한 예측모델(21)을 사용한다.
이 모델은 구축된 후, 가공결과 추정부에 저장되고, 다시 에칭처리결과를 검사하는 검사장치(7)로부터의 측정값을 기초로 수정된다. 이에 의하여 모델 정밀도를 향상할 수 있다.
또 제어모델부(6)에서는 상기한 바와 같이 추정된 가공결과와 해당 프로세스의 가공치수의 목표값과의 편차를 기초로, 다음에 처리하는 동일품종 또는 대략 동등품종의 웨이퍼의 레시피를 조정한다. 이때 조정하는 파라미터로서는 게이트절연막에 영향을 미치지 않는 에칭단계의 파라미터에 한정한다. 또한 제어모델(6)은 미리 실험이나 시뮬레이션에 의하여 예를 들면 도 4에 나타내는 바와 같은 제어성을 확인하여 제어 알고리즘을 구축하여 둔다.
도 8은 CD값의 제어플로우의 예를 나타내는 도면이다. 이 예에서는 도 7에 나타내는 에칭처리장치에 있어서, 부가센서(3)로서 발광 분광센서(OES)를 사용한 경우에 있어서의 CD값의 제어플로우를 나타내는 도면이다. 이하 각 단계의 설명을 행한다. 먼저 단계(1)에 있어서, 피에칭막의 표면에 형성된 자연산화막을 제거한다 (브레이크스루). 이 단계 중의 소정기간에 있어서 발광 분광센서(OES)를 이용하여 플라즈마발광 스펙트럼을 수집한다. 단계(2)에 있어서 상기 발광 스펙트럼 데이터를 이용하여 현재의 장치상태를 파악하고, 현재상태의 장치에서의 가공결과를 예측하고, 다시 이 예측결과를 기초로 단계(4)에 있어서 사용하는 레시피를 변경한다.
구체적으로는 수집된 스펙트럼을 즉시 다변량 해석 또는 특정한 필터를 사용하여 단계(1)에 있어서의 프로세스상태 모니터의 대표값으로서의 수개의 지표값을 산출한다. 이어서 미리 작성하여 둔 상기 지표값과 최종 처리결과인 CD값과의 상관관계를 기초로 상기 산출한 지표값으로부터 에칭가공결과를 추정한다. 이 방법의 이점은 지금까지의 제어방법에서는 소정의 시료를 처리하고, 그 결과로부터 처리형상의 기준값으로부터의 어긋남을 측정하여, 그 후의 시료의 처리를 안정화시킬 수 밖에 없다. 이 경우 제어에 걸리는 시간지연이 크고, 제어에 의하여 보정되지 않는 시료가 많이 발생한다. 또한 제어가 늦어지고 있는 사이에 어떠한 장치변동이 일어나면 제어 자체에 의미가 없어지는 경우도 있다.
그런데 도 8의 방법을 사용하면 제어대상 시료의 처리상황을 모니터하여, 그로부터 제어량을 산출하기 때문에 매우 높은 정밀도의 안정된 제어를 행하는 것이 가능하게 된다.
단계(3)에 있어서, 상기 단계(2)에서 추정한 가공결과를 기초로, 해당 에칭의 가공결과가 목표값이 되도록 단계(4)에서 사용하는 레시피 중 적어도 하나의 파라미터(가변 파라미터)를 조정한다. 이때 미리 설정하여 둔 파라미터의 조정범위를 초과한 경우는 조정범위내에서 가장 가까운 값으로 하거나, 처리를 중단하고, 장치 사용자에 대하여 무엇인가의 형으로 아람을 출력한다. 또한 가변 파라미터로서는 산소(O2)의 유량의 외에 에칭시간, 피처리 기판에 인가되는 RF 또는 펄스바이어스파워, 에칭가스유량비(Cl2/(HBr + Cl2)) N2 등의 첨가가스의 가스유량을 채용할 수 있다. 또 에칭시간을 가변 파라미터로 하는 경우에는 처리 중인 막두께를 모니터하는 막두께 모니터를 병용하여 초기 막두께의 차이를 흡수할 수 있다.
단계(4)에 있어서, 단계(3)에서 산출한 레시피를 사용하여 피에칭막을 에칭한다. 단계(5)에 있어서, 게이트절연막에 대하여 선택비가 높은 레시피를 사용하여 피에칭막을 게이트절연막이 노출될 때까지 에칭한다. 단계(6)에 있어서, 단계(5)에서 에칭할 수 없었던 잔사부를 게이트절연막에의 손상을 발생시키지 않는 마진이 있는 레시피를 사용하여 마무리 에칭(오버에칭)을 행한다. 도 8은 브레이크스루의 처리상태에서 처리상태의 변동을 검출하려고 하고 있으나, 브레이크스루 단계는 시간이 짧고 불안정한 것이 많아 처리상태의 변동을 잘 검출할 수 없는 경우가 있다.
도 9는 이 문제에 대처하기 위한 CD값의 다른 제어플로우의 예를 나타내는 도면이다. 먼저 단계(10)에 있어서, 피에칭막의 표면에 형성된 자연산화막을 제거한다(브레이크스루). 단계(11)에 있어서 피에칭막을 에칭한다. 이 단계의 소정기간에 있어서 발광 분광센서(OES)를 사용하여 플라즈마발광 스펙트럼을 수집한다. 단계(12)에 있어서 단계(11)에 있어서 취득한 발광 분광스펙트럼 데이터를 사용하여 현재의 장치상태를 파악하고, 현재상태의 장치상태에서의 가공결과를 예측하고, 단계 (14)의 레시피를 변경한다.
구체적으로는 수집된 스펙트럼을 즉시 다변량 해석 또는 특정한 필터를 사용하여 단계(11)에 있어서의 프로세스상태 모니터의 대표값으로서의 수개의 지표값을 산출한다. 이어서 미리 작성하여 둔 상기 지표값과 최종 처리결과인 CD값과의 상관관계를 기초로 상기 산출한 지표값으로부터 에칭가공결과를 추정한다.
단계(13)에 있어서, 단계(12)에서 추정된 가공결과를 기초로, 그 에칭의 가공결과가 목표값이 되도록, 단계(14)의 레시피 중 적어도 하나의 파라미터(가변 파 라미터)를 조정한다. 이때 미리 설정하여 둔 파라미터의 조정범위를 초과한 경우는, 조정범위내에서 가장 가까운 값으로 하거나, 처리를 중단하고, 장치 사용자에 대하여 무엇인가의 형으로 알람을 출력한다.
또한 가변 파라미터로서는 산소(O2)의 유량 외에 에칭시간, 피처리 기판에 인가되는 RF 또는 펄스바이어스파워, 에칭가스유량비(Cl2/(HBr + Cl2), N2 등의 첨가가스의 가스유량을 채용할 수 있다. 또한 에칭시간을 가변 파라미터로 하는 경우에는 처리 중의 막두께를 모니터하는 막두께 모니터를 병용하여 초기 막두께의 차이를 흡수할 수 있다. 단계(14)에 있어서 단계(13)에서 산출한 레시피를 이용하여 피에칭막을 에칭한다. 단계(15)에 있어서, 게이트절연막에 대하여 선택비가 높은 레시피에서 피에칭막을 게이트절연막이 노출할 때까지 에칭한다. 단계(16)에 있어서 단계(15)에서 에칭할 수 없었던 잔사부를 게이트절연막에 대한 손상을 발생시키지 않는 마진이 있는 레시피에서 마무리 에칭(오버 에칭)을 행한다.
도 10은 에칭처리공정에 있어서의 게이트길이의 결정요인에 대하여 설명하는 도면이다. 도면에 있어서 처리는 도 10의 공정 1, 공정 2, 공정 3으로 나타내는 순으로 행하여지고, 본 발명의 에칭처리는 공정 3의 단계(1), 단계(2)에 나타내는 순으로 행하여진다. 또한 이들 공정을 나타내는 도면에 있어서, 110은 실리콘기판 (111), 게이트절연막(112), 다결정(Poly)실리콘막(113)을 구비한 웨이퍼이고, 이 웨이퍼는 그 표면에 SiO2 등으로 이루어지는 하드마스크재료층(114')을 구비하고, 하드마스크재료층(114') 위에는 레지스트마스크(201)가 형성되어 있다.
공정(1)은 반도체제조프로세스에 있어서의 포토리소공정이고, 레지스트마스크(201)를 형성한 상태를 나타낸다. 공정(2)은 반도체제조 프로세스에 있어서의 에칭공정의 주로 절연막 에칭처리장치를 사용하여 하드마스크재료층(114')을 에칭하여 하드마스크(114)를 형성하는 공정을 나타낸다. 이 하드마스크(114)는 다결정 (Poly)실리콘막 등으로 이루어지는 게이트재료(113)를 에칭할 때의 마스크가 되어, 최종적인 게이트치수를 결정하는 하나의 인자가 된다. 또한 레지스트마스크(201)는 이 공정의 종료후에 제거된다.
공정(3)은 본 발명의 에칭처리를 적용한 공정이다. 먼저 단계(1)에 있어서 예를 들면 에칭율이 빠른 에칭조건으로, 다결정(Poly) 실리콘막(113)을 게이트절연막(112)이 노출하기 전까지 에칭제거한다. 이 에칭처리 중에 막두께 모니터를 이용하여 다결정(Poly) 실리콘막(113)의 게이트절연막(112)까지의 잔막량(209)을 모니터함으로써, 그 잔막량을 각 웨이퍼마다 일정하게 유지할 수 있다. 단계(2)는 상기 단계(1)에 있어서 에칭할 수 없었던 잔사부를 게이트절연막에의 손상을 발생시키지 않는 마진이 있는 레시피를 사용하여 마무리 에칭(오버 에칭)을 행하는 공정이다.
예를 들면 피에칭막을 복수단계에서 처리하는 공정(3)에 있어서, 하드마스크 (114)의 마스크치수(210)는 공정 1 또는 공정 2에서 내재하는 프로세스 불균일에 의하여 변동된다. 마스크치수(210)가 전공정에서 크게 형성된 경우는 공정 3에 있어서 마스크치수(210)를 등방에칭에 의하여 가늘어지게 하는 처리를 행하는 것을 생각할 수 있으나, 하드마스크(114)는 SiO2나 SiON 등의 재료이고, 공정 3에서 사용하는 게이트 에칭처리공정에서는 상기 가늘어지게 하는 처리를 행하는 것은 곤란하다.
그러나 본 실시형태의 에칭처리방법에서는 마스크치수(210)를 사전에 취득하여 두고, 공정 3에 있어서 에칭결과가 목표값이 되도록 사용하는 레시피의 적어도 하나의 파라미터(가변 파라미터)를 조정하기 때문에 소망의 게이트길이(211)를 얻을 수 있다. 또한 게이트절연막(203)에 손상을 주는 일 없이 가공할 수 있다.
또 전공정 1 및 공정 2에 있어서, 하드마스크(114)의 마스크치수(210)를 매회 변동없이 가공할 수 있었다 하여도 공정 3에 있어서의 장치의 경시변화 등의 외란에 의한 마스크치수가 변동하는 경우가 있다.
본 실시형태에 의하면, 이와 같은 경우에 있어서도 전공정인 공정 1이나 공정 2에 피드백을 가하는 일 없이, 외란에 의한 게이트길이(211)의 변화량을 미리 취득하고, 취득한 변화량을 기초로 공정 3에 있어서의 레시피를 조정하기 때문에 소망의 게이트길이(211)를 얻을 수 있다. 또한 게이트절연막(203)에 손상을 주는 일 없이 가공할 수 있다. 또 전공정인 공정 1 또는 공정 2에 피드백을 거는 경우는 대규모인 시스템이 필요하게 되나, 본 실시형태에서는 공정 3만으로 대응하는 것이 가능하여, 제조시스템의 초기 도입부하(시간, 비용 등)를 경감할 수 있다.
도 11은 막두께 모니터의 사용방법을 설명하는 도면이다. 여기서는 레시피의 O2유량을 조정하여 게이트길이를 제어하는 경우를 예로 설명한다. 도 11(a)는 산소(O2)의 유량을 O2 = X [ml/min]에 설정한 경우의 처리경과를 나타내고, 도 11(b)는 산소(O2)의 유량을 O2 = X-a [ml/min] 설정한 경우에 있어서의 처리경과를 나타낸다.
도 11(a)의 단계 1에 있어서는, Xml/min의 산소(O2)유량으로, 소정시간 에칭을 실시한다. 이 경우의 잔막두께(밑바탕의 게이트절연막까지의 막두께)는 Y가 된다. 이어서 단계 2에 있어서 밑바탕에 도달할 때까지 에칭처리한다. 이 단계(2)에 있어서의 에칭처리에서는 적용되는 레시피에 의하여 소정각도(θ)를 가지고 에칭되게 된다. 이 때문에 최종적인 게이트길이는 C1이 된다.
한편, 최종 게이트길이를 제어하는 경우는 도 11(b)에 나타내는 바와 같이 단계(1)에 있어서 산소(O2)를 X-a [ml/min]로 하여 에칭을 실시한다. 이 경우는 게이트길이의 제어는 할 수 있으나, 에칭율도 변동한다. 그 결과 단계 1에 있어서의 잔막두께는 Z(Z>Y)가 된다. 또한 다음에 행하여지는 단계(2)에서는 레시피는 고정 이므로, 도 11(a)의 경우와 마찬가지로 에칭이 진행된다. 즉 상기 소정각도(θ)를 가지고 에칭이 진행되게 된다. 이 결과 최종적인 게이트길이는 C2가 되어, 상기 게이트길이(C1)와는 C3만큼 차가 생긴다(이 현상을 CD값 제어에 이용할 수도 있다).
즉, 도 11(b)의 단계(1)에 있어서, 산소(O2)의 유량을 제어하여 CD값을 제어하려고 하면 단계(1)의 에칭율이 변화한다. 이 때 단계(2)에 있어서의 CD값 변경의 영향이 단계(1)에 있어서의 산소(O2)유량변경에 의한 CD값 변경의 영향보다도 큰 경우에는 단계(1)에 있어서의 산소(O2)유량변경에 의한 CD값제어가 숨어 버려 예기치 않은 CD 값이 얻어지게 된다.
따라서 잔막두께(Y) 또는 잔막두께(Z)는 항상 일정값으로 제어하는 것이 필요하고, 이 목적을 위하여 단계(1)에 있어서의 처리 중에 실시간으로 막두께를 모니터링하는 것이 필요하다.
또한 이상의 설명에서는 단일의 피에칭막으로 하여 다결정 실리콘막을 예로 설명하였으나, 상기 단일의 피에칭막은 다른 막 예를 들면 금속막과의 적층막이어도 좋다.
이상 설명한 바와 같이 본 발명에 의하면 피드백제어를 행할 때에 예기치 못한 부작용에 대한 염려를 경감하여 막대한 노력, 시간을 들이지 않고 제어모델을 구축할 수 있는 에칭처리장치 및 방법을 제공할 수 있다.

Claims (12)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 단일의 피에칭막에 대하여 각각 다른 레시피를 적용한 복수의 에칭단계로 이루어지는 에칭처리를 실시하는 에칭처리방법으로서,
    상기 처리방법은 전회의 처리결과를 기초로 미리 설정한 고정 레시피 중 하나 이상의 파라미터를 변경하여 생성한 상층부 에칭용 레시피를 기초로 상기 피에칭막의 상층부를 에칭처리하는 단계; 및
    미리 설정한 상기 고정 레시피를 기초로 상기 피에칭막의 하층막에 접하는 하층부를 에칭처리하는 단계;를 구비하는 것을 특징으로 하는 에칭처리방법.
  10. 단일의 피에칭막에 대하여 각각 다른 레시피를 적용한 복수의 에칭단계로 이루어지는 에칭처리를 실시하는 에칭처리방법으로서,
    상기 처리방법은 전회의 처리의 처리결과 및 처리결과의 목표값을 기초로 미리 설정한 고정 레시피 중 하나 이상의 파라미터를 변경하여 생성한 상층부 에칭용 레시피를 기초로 상기 피에칭막의 상층부를 에칭처리하는 단계; 및
    미리 설정한 상기 고정 레시피를 기초로 상기 피에칭막의 하층막에 접하는 하층부를 에칭처리하는 단계;를 구비한 것을 특징으로 하는 에칭처리방법.
  11. 제 9항 또는 제 10항에 있어서,
    처리결과는, 에칭장치의 장치상태를 검출하는 모니터의 출력신호와 에칭결과를 기초로 작성한 추정모델을 기초로 추정하는 것을 특징으로 하는 에칭처리방법.
  12. 제 9항 또는 제 10항에 있어서,
    상기 피에칭막의 잔막두께를 모니터하는 막두께 모니터를 구비하고, 상기 막두께 모니터의 출력을 기초로, 상기 피에칭막의 상층부를 에칭처리하는 단계를 종료하는 것을 특징으로 하는 에칭처리방법.
KR1020020051206A 2002-06-14 2002-08-28 에칭처리장치 및 에칭처리방법 KR100912478B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002174616A JP3639268B2 (ja) 2002-06-14 2002-06-14 エッチング処理方法
JPJP-P-2002-00174616 2002-06-14

Publications (2)

Publication Number Publication Date
KR20030095936A KR20030095936A (ko) 2003-12-24
KR100912478B1 true KR100912478B1 (ko) 2009-08-17

Family

ID=29727984

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020051206A KR100912478B1 (ko) 2002-06-14 2002-08-28 에칭처리장치 및 에칭처리방법

Country Status (4)

Country Link
US (2) US6916396B2 (ko)
JP (1) JP3639268B2 (ko)
KR (1) KR100912478B1 (ko)
TW (1) TW567555B (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6812044B2 (en) * 2002-12-19 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Advanced control for plasma process
US7261745B2 (en) * 2003-09-30 2007-08-28 Agere Systems Inc. Real-time gate etch critical dimension control by oxygen monitoring
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060151429A1 (en) * 2005-01-11 2006-07-13 Hiroyuki Kitsunai Plasma processing method
DE112006000327T5 (de) * 2005-03-03 2007-12-27 Applied Materials, Inc., Santa Clara Vorrichtung zur Temperatursteuerung eines Substrats
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US20070199655A1 (en) * 2006-02-28 2007-08-30 Tokyo Electron Limited Substrate processing apparatus, method for modifying substrate processing conditions and storage medium
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5242906B2 (ja) * 2006-10-17 2013-07-24 東京エレクトロン株式会社 基板処理装置の制御装置、制御方法および制御プログラムを記憶した記憶媒体
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
WO2010030718A2 (en) * 2008-09-11 2010-03-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
JP6173889B2 (ja) * 2013-11-28 2017-08-02 ソニーセミコンダクタソリューションズ株式会社 シミュレーション方法、シミュレーションプログラム、加工制御システム、シミュレータ、プロセス設計方法およびマスク設計方法
CN103745904B (zh) * 2013-12-31 2016-08-17 深圳市华星光电技术有限公司 一种干法刻蚀机及其刻蚀方法
CN105097593B (zh) * 2015-07-08 2018-06-19 深圳市盛德金科技有限公司 一种薄膜电子器件的生产控制方法、装置及系统
KR20170014384A (ko) * 2015-07-30 2017-02-08 삼성전자주식회사 건식 식각장치
JP7038563B2 (ja) * 2018-02-15 2022-03-18 東京エレクトロン株式会社 基板処理装置、流量制御方法及び流量制御プログラム
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备
CN112877696B (zh) * 2021-02-04 2023-05-16 广州兴森快捷电路科技有限公司 碱性蚀刻氧含量控制方法、装置、设备及存储介质
US20230058468A1 (en) * 2021-08-23 2023-02-23 United Microelectronics Corp. Method of fabricating an air gap

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02210825A (ja) * 1989-02-10 1990-08-22 Hitachi Ltd プラズマエッチング方法及び装置
JPH09326382A (ja) * 1996-06-04 1997-12-16 Matsushita Electron Corp エッチング方法
KR20010004248A (ko) * 1999-06-28 2001-01-15 김영환 웨이퍼 식각공정에서 샘플 데이타를 수집하기 위한 방법
JP2002009059A (ja) * 2000-06-23 2002-01-11 Hitachi Ltd プラズマエッチング方法および電子デバイスの製造方法並びにプラズマエッチング装置およびプラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5642296A (en) * 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5910011A (en) * 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
TW507305B (en) * 1999-09-18 2002-10-21 Samsung Electronics Co Ltd Method of measuring etched state of semiconductor wafer
US6399507B1 (en) * 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
JP2001237218A (ja) * 2000-02-21 2001-08-31 Nec Corp 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02210825A (ja) * 1989-02-10 1990-08-22 Hitachi Ltd プラズマエッチング方法及び装置
JPH09326382A (ja) * 1996-06-04 1997-12-16 Matsushita Electron Corp エッチング方法
KR20010004248A (ko) * 1999-06-28 2001-01-15 김영환 웨이퍼 식각공정에서 샘플 데이타를 수집하기 위한 방법
JP2002009059A (ja) * 2000-06-23 2002-01-11 Hitachi Ltd プラズマエッチング方法および電子デバイスの製造方法並びにプラズマエッチング装置およびプラズマ処理装置

Also Published As

Publication number Publication date
US20050236364A1 (en) 2005-10-27
US20030230551A1 (en) 2003-12-18
JP2004022747A (ja) 2004-01-22
JP3639268B2 (ja) 2005-04-20
US6916396B2 (en) 2005-07-12
KR20030095936A (ko) 2003-12-24
TW567555B (en) 2003-12-21

Similar Documents

Publication Publication Date Title
KR100912478B1 (ko) 에칭처리장치 및 에칭처리방법
KR100499226B1 (ko) 플라즈마처리장치
US8129283B2 (en) Plasma processing method and plasma processing apparatus
JP3799314B2 (ja) エッチング処理装置およびエッチング処理方法
JP2013161913A (ja) プラズマ処理装置及びプラズマ処理方法
JP5377993B2 (ja) プラズマ処理方法
JP4782585B2 (ja) プラズマエッチング装置及び方法
JP2006074067A (ja) プラズマ処理装置および処理方法
JP4324545B2 (ja) エッチング処理装置及び処理方法
JP4068986B2 (ja) 試料のドライエッチング方法及びドライエッチング装置
US20050070034A1 (en) Real-time gate etch critical dimension control by oxygen monitoring
JP3927464B2 (ja) プラズマ処理方法
JP4344674B2 (ja) プラズマ処理装置
JP5675195B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법
JP2005072614A (ja) 試料処理装置及び試料処理システム
JP2005328001A (ja) エッチング処理装置および処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130722

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140722

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180719

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190722

Year of fee payment: 11