KR100618722B1 - 전착화학 - Google Patents

전착화학 Download PDF

Info

Publication number
KR100618722B1
KR100618722B1 KR1019980052711A KR19980052711A KR100618722B1 KR 100618722 B1 KR100618722 B1 KR 100618722B1 KR 1019980052711 A KR1019980052711 A KR 1019980052711A KR 19980052711 A KR19980052711 A KR 19980052711A KR 100618722 B1 KR100618722 B1 KR 100618722B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
forming
metal
copper
acid
Prior art date
Application number
KR1019980052711A
Other languages
English (en)
Other versions
KR19990081793A (ko
Inventor
우질 란다우
존 제이. 덜소
데이비드 비. 리어
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19990081793A publication Critical patent/KR19990081793A/ko
Application granted granted Critical
Publication of KR100618722B1 publication Critical patent/KR100618722B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Abstract

본 발명은 도금 용액을 제공하는데, 특히 지지전해질이 없거나 또는 나즌 농도의 지지전해질, 즉 산이 포함하지 않거나 소량의 산을 포함하고, 염기를 포함하지 않고, 또는 전도성의 염을 포함하지 않고, 그리고/또는 예컨대 구리와 같은 금속 이온의 높은 농도를 포함하는 지지전해질을 구비하여, 기판상에 균일한 코팅을 제공하고 그리고 기판 상에 형성된 소규모 구조물, 예컨대 마이크론 스케일 또는 그 이하의 구조물의 대체로 결함없는 충진을 제공하도록 설계된 금속 도금 용액을 제공한다. 부가적으로, 이 도금 용액은 표백제, 평탄제, 계면활성제, 입자정제제, 응력축소제 등으로서 작용함으로써 도금되는 필림의 품질 및 성능을 향상시키는 소량의 첨가물을 포함할수 있다.

Description

전착 화학 {ELECTRO DEPOSITION CHEMISTRY}
본 출원은 1998년 4월 21일 출원된 미국 가출원 번호 제 60/082,521호를 우선권으로 주장한다. 본 발명은 기판 상에 균일한 코팅을 제공하고 기판상에 형성된 예컨대 마이크론 스케일 및 그 보다 작은 스케일의 미세구조물의 결함없는 충진을 제공하기 위해 고안된 금속 도금 용액의 새로운 제형(formulations)에 관한 것이다.
금속의 전착(electrodeposition)은 최근들어서 집적회로 및 평면 패널 디스플레이 제조 분야에서 유망한 증착(deposition)기술로 인식되어 왔다. 그 결과, 많은 노력이 기판 면적을 가로질러 균일하고 또한 매우 작은 미세구조물을 충진하고 이에 합치할 수 있는 기판상의 고품질 필름을 획득하는 하드웨어 및 화학 약품을 고안하기 위하여 이 분야에 집중되었다.
통상적으로, 종래의 도금조(鍍金槽: plating cell)에서 이용되는 화학 약품, 다시 말하면 화학적 제형 및 화학적 조건은, 많은 상이한 도금조 설계분야에 이용되고 다른 도금부 상에도 이용되며 그리고 수많은 다른 응용분야에 이용될 때에도 만족할만한 도금 결과를 제공하도록 설계된다. 특정의 도금부에 매우 균일한 전류밀도(및 증착 두께 분포)를 제공하기 위하여 특별하게 설계되지 않은 도금조는, 양호한 커버리지가 도금되는 목적물의 모든 표면 상에서 달성되도록, 높은 '균일 전착성(throwing power)'(또한 높은 와그너수(Wagner number)로서 설명됨)을 제공하기 위하여 이용되는 고전도율 용액(high conductivity solution)을 필요로 한다. 통상적으로, 산 또는 염기나 경우에 따라 전도성 염과 같은 지지전해질(supporting electrolyte)이 높은 '균일 전착성'을 달성하는데 소요되는 도금 용액에 높은 이온 전도성을 제공하기 위하여 도금 용액에 첨가된다. 지지전해질은 전극 반응에는 참가하지 않지만, 목적물 상에 도금 재료의 적합한 커버리지를 제공하기 위하여 필요로 하게 된다. 이는 지지전해질이 전해질 내부의 저항을 감소시키며, 만일 이것이 첨가되지 않는다면 발생하게 되는 보다 높은 저항이 전류 밀도 불균일성의 원인이 되기 때문이다. 비록 작은 양의, 예를 들면 0.2 Molar, 산 또는 염기의 첨가라고 하더라도 통상적으로 전해질의 전도성을 매우 현저하게 증가(예컨대, 2배의 전도성)시킬 것이다.
그러나, 저항성 있는, 예를 들면 금속 씨결정 웨이퍼(metal seeded wafer), 반도체 기판과 같은 목적물 상에서는, 도금용액의 높은 전도성이 증착되는 필름의 균일성에 오히려 나쁜 영향을 끼친다. 이는 일반적으로 터미널 효과(terminal effect)로 불리우며, 오스카 란찌(Oscar Lanzi) 및 우질 란다우(Uziel Landau)의 논문('Terminal Effect at a Resistive Electrode Under Tafel Kinetics', J. Electrochem. Soc. Vol.137, No.4, pp 1139-1143, April 1990)에 기술되어 있으며, 이는 본원에 참조되었다. 이 효과는 전류가 부품의 둘레를 따른 접촉으로부터 공급되고 저항성 기판을 가로질러 그 자신을 분배한다는 사실에 기인한다. 과잉의 지지전해질이 존재하는 곳의 경우와 같이 만일 전해질 전도성이 높다면, 전류가 저항성 표면을 가로질러 균일하게 자신을 분배하기 보다는 접촉점에 근접한 좁은 영역 내에서 용액을 통과하는 것이 우선적일 것이며, 다시 말해서 전류는 터미널로부터 용액으로 최대의 전도성 경로를 따라갈 것이다. 그 결과, 접촉점에 인접한 곳에서의 증착이 더 두껍게 될 것이다. 따라서, 저항성 기판의 표면 영역 위에서는 균일한 증착 프로파일을 얻는 것이 어렵게 된다.
종래의 도금 용액에서 마주치는 또 다른 문제점은, 미세구조물 상의 증착 공정이 미세구조물로의 반응물의 질량 수송(확산)에 의해 제어되고, 그리고 큰 미세구조물 상에서 일반적인 것과 같은 전기장의 세기 대신에 전해 반응의 반응속도론(kinetics)에 의해 제어된다는 점이다. 달리 말하면, 전류와 상관없이 도금 이온이 목적물의 표면에 제공되는 주입속도가 도금 속도를 제한할 수 있다. 본질적으로, 만일 전류 밀도가 국부적인 이온 주입 속도를 초과하는 도금 속도를 규정한다면, 주입 속도는 도금 속도를 규정한다. 그러므로, 종래의 '균일 전착성(throwing power)'을 제공하는 고도의 전도성있는 전해질 용액은 양호한 커버리지 및 매우 작은 미세구조물 내의 충진를 얻는데 있어서 별로 중요하지 않았다. 양호한 품질의 증착을 얻기 위해서는, 미세구조물 내부 또는 근처에서 낮은 반응물 농도의 감소 및 높은 질량-수송 속도를 가져야 한다. 그러나, 과잉의 산 또는 염기 지지전해질이 존재하는 경우에는, (비록 그 과잉의 양이 매우 작다고 하더라도) 상기 수송 속도가 대략 절반으로 감소된다(또는 농도 감소는 동일한 전류 밀도 경우의 약 2배가 됨). 이는 증착물의 품질 저하를 초래할 것이고, 그리고 특히 미세구조물 상에서 충진물의 결함을 유발할 수 있다.
등각 도금(conformal plating) 및 미세구조물의 충진에서는 확산이 매우 중요하다는 것은 이미 알려져 있다. 도금되는 금속 이온의 확산은 도금되는 금속 이온의 용액 내의 농도와 직접적으로 관련된다. 보다 높은 금속 이온 농도는 미세구조물로의 금속의 확산 속도가 더 높아지도록 하고 음극(cathode) 표면에서 감소층(경계층) 내에서의 금속 이온 농도가 더 높아지도록 하며, 따라서 보다 빠르고 보다 양호한 품질의 증착이 달성될 수 있다. 종래의 도금 응용예에서는, 금속 이온의 달성가능한 최대 농도가 그 염의 용해도에 의해 통상 제한되었다. 만일, 예컨대 산, 염기, 또는 염과 같은 지지전해질이 도금되는 금속 이온과 함께 제한된 용해도 생성물을 제공하는 공통-이온(co-ion)을 함유한다면, 지지전해질의 첨가는 금속 이온의 최대 달성가능 농도를 제한할 것이다. 이런 현상은 공통 이온 효과(common ion effect)로 불리운다. 예를 들면, 구리도금의 응용예에서, 구리 이온의 농도를 높게 유지하는 것이 바람직할 때, 황산의 첨가는 구리 이온의 최대 가능 농도를 실질적으로 감소시킬 것이다. 공통 이온 효과는 본질적으로, 농축된 황산구리 전해질 내에서, 황산(H2SO4)의 농도가 증가함(이는 H+ 양이온과 HSO4 - 및 SO4 - 음이온의 증가를 일으킴)에 따라 구리(Ⅱ) 양이온(cation)의 농도는 다른 음이온의 보다 높은 농도로 인하여 감소될 것을 요구한다. 결론적으로, 통상적으로 과잉의 황산을 함유한 종래의 도금 용액은 최대 구리 농도를 제한함으로써, 미세구조물을 결함없이 높은 속도로 충진하는 능력이 제한된다.
따라서, 본 발명은 기판 상에 예컨대 마이크론 스케일 및 그 이하 스케일의 미세구조물의 양호한 품질의 도금을 제공하고 그리고 이와 같은 미세구조물의 결함없는 충진 및 균일한 코팅을 제공하기 위해 특별히 고안된 금속 도금 용액의 새로운 제형을 제공하고자 하는 것이다.
본 발명은 지지전해질을 가지지 않거나 작은 양을 가진 도금 용액, 즉 산을 포함하지 않거나 작은 양의 산을 포함하거나,염기를 포함하지 않거나, 또는 전도성 염을 포함하지 않고, 및/또는 예컨대 구리와 같은 높은 금속 이온 농도를 포함하는 도금 용액을 제공한다. 부가적으로는, 표백제, 평탄제, 계면활성제, 입자정제제, 응력축소제 등으로 작용함으로써 도금되는 필름의 품질 및 성능을 향상시키는 작은 양의 첨가물을 상기 도금 용액이 함유할 수도 있다.
본 발명은 일반적으로 낮은 전도성을 가진 전기도금 용액에 관한 것으로서, 특히 이 용액은 지지전해질을 포함하지 않거나 또는 저농도의 지지전해질을 포함한 것이며, 즉 저항성 기판을 가로질러 양호한 증착 균일성을 달성하고 마이크론 및 서브-마이크론 이하 크기의 미세구조물과 같은 매우 작은 미세구조물 내부에 양호한 충진을 제공하기 위하여, 산 농도를 포함하지 않거나 낮은 산 농도를 포함하며(그리고 적용가능한 곳에서는, 본질적으로 염기 농도를 포함하지 않거나 낮은 염기 농도를 포함하며), 본질적으로 전도성 염을 포함하지 않거나 낮은 농도로 포함하며 그리고 높은 금속 농도를 포함하는 것이다. 이에 덧붙여서, 예컨대 산을 포함하지 않거나 작은 양의 산을 포함한 것과 같은 지지전해질을 가지지 않거나 작은 양을 가진 전기도금 용액에 사용되는 경우에, 기판 상에 도금되는 최종 금속의 표백성, 평탄성, 기타 다른 특성들을 개선하는 참가물이 제안된다. 이하에서, 본 발명은 전자 산업 분야에서 기판 상의 구리 도금과 관련하여 설명된다. 하지만, 낮은 전도성의 전기도금 용액, 특히 지지전해질을 전혀 가지지 않거나 작은 양의 지지전해질만을 가지는 전기도금 용액은 저항성 기판 상에 다른 금속을 증착시키는데 이용될 수 있으며 그리고 도금이 유용하게 이용될 수 있는 어떤 분야에서도 적용이 가능하다.
본 발명의 일실시예에서는, 수성 구리 도금 용액이 사용되고, 이 도금 용액은 황산구리(copper sulfate)를, 바람직하기로 물(H2O)에 황산구리 펜타하이드레이트(copper sulfate pentahydrate)를 1리터당 약 200그램 내지 약 350그램을 포함하여 이루어지고, 본질적으로 추가되는 황산이 없다. 구리 농도는 바람직하기로 약 0.8 Molar 이상이다.
황산구리 외에, 본 발명은 황산구리(copper sulfate) 이외의 구리염(copper salts), 예컨대 카퍼 플루오로보레이트(copper fluoroborate), 글루콘산구리(copper gluconate), 카퍼 설파메이트(copper sulfamate), 카퍼 설포네이트(copper sulfonate), 피로인산구리(copper pyrophosphate), 염화구리(copper chloride), 시안화구리(copper cyanide) 등과 같은 것을 고려할 수 있으며, 이들 모두는 지지전해질을 가지지 않고 있다(또는 적게 가진다). 이들 구리염들의 일부는 황산구리 보다 높은 용해도를 제공하고, 따라서 유용한 것이 될 수도 있다.
종래의 구리 도금 전해질은 상대적으로 높은 황산 농도를 포함하고[물(H2O) 1리터당 약 45그램의 황산(H2SO4)인 0.45M로부터, 물(H2O) 1리터당 약 110그램의 황산(H2SO4)인 1.12M까지], 이 높은 농도는 전해질에 높은 전도성을 제공하기 위하여 제공된다. 높은 전도성은, 종래의 전기도금조에서 발생되는 별도로 형성되는 부분 및 도금조(Cell)의 배치 구성(configuration)에 의해 야기되는 증착 두께에서의 불균일성을 감소시키기 위하여 필수적인 것이다. 그러나, 본 발명은 주어진 부분 상에 상대적으로 균일한 증착 두께를 제공하기 위하여 도금조의 배치 구성이 특별히 설계된 곳에서의 응용을 일차적으로 지향한다. 그러나, 기판은 저항성이 있고 증착되는 층에 두께의 불균일성을 제공한다. 이와 같이, 불균일한 도금의 원인 중에서 저항성 있는 기판의 효과가 탁월하며, 예컨대 높은 황산(H2SO4) 농도를 함유하는 높은 전도성의 전해질이 불필요하게 된다. 사실상, 높은 전도성의 전해질(예컨대, 높은 황산 농도에 의해 생성되는)은 저항성 기판의 효과가 높은 전도성의 전해질에 의해 증폭되기 때문에 균일한 도금에 불리하게 작용한다. 이는 전류 분포 및 이에 대응하는 증착 두께의 균일성 정도가 기판의 저항 대 전해질 내부의 전류 흐름에 대한 저항의 비율에 의존한다는 사실로부터 귀결된다. 이들 비율이 높을수록, 터미널 효과는 덜해지고 증착 두께의 분포는 더욱 균일하게 된다. 따라서, 균일성이 주요 관심사일 때, 전해질 내부에 높은 저항을 가지는 것이 바람직하다. 전해질 저항은 ℓ/κπr2으로 의해 주어지므로, 가능한한 낮은 전도성(κ)을 가지고 또한 양극과 음극 사이에 큰 간극(ℓ)을 가지는것이 바람직하다. 또한 분명하게도, 기판 반경(r)이 예컨대 200 mm 웨이퍼에서 300 mm 웨이퍼로 규격을 증가시키는 경우와 같이 커질수록, 터미널 효과는 더욱 더 심해질 것이다(예컨대, 2.25의 계수로써). 산을 제거함으로써, 구리 도금 전해질의 전도성은 약 0.5 S/㎝(0.5 ohm-1-1)에서 이 값의 약 1/10 정도, 즉 약 0.05 S/㎝로 통상적으로 떨어져, 전해질이 10배이상 더욱 저항성을 가지도록 한다.
또한, 보다 낮은 지지전해질 농도(예컨대, 구리 도금에 있어서 황산 농도)는 전술한 바 있는 공통 이온 효과의 제거로 인하여 보다 높은 금속 이온(예컨대, 황산구리) 농도의 이용을 종종 허용한다. 더욱이, 녹기 쉬운 구리 양극이 사용되는시스템에서는, 보다 낮은 첨가 산 농도(또는 바람직하기로는 산이 전혀 첨가되지 않는 상태)가 유해한 부식 및 재료 안정성의 문제를 최소화시킨다. 부가적으로, 순수한 구리 또는 상대적으로 순수한 구리가 이 장치에서 사용될 수 있다. 일부 구리 용해는 통상적으로 산성 환경에서 발생하기 때문에, 종래의 구리 도금에 사용되는 구리 양극은 통상적으로 인(phosphorous)을 함유한다. 인은 양극 상에 과잉 용해로부터 양극을 보호하는 필름을 형성하지만, 그러나 미소량의 인이 도금 용액에서 발견되는데, 이는 증착 층에 오염물질로서 합체될 수도 있다. 여기에서 설명되는 바와 같이 산성의 지지전해질을 갖지 않는 도금 용액을 사용하는 응용예에서는, 양극에서의 인의 함량이, 만일 필요하다고 하더라도, 감소되거나 제거될 수 있다. 또한, 환경적 고려와 용액 처리의 용이성을 위해서도, 비-산성 전해질이 바람직하다.
두께의 균일성을 향상시키는 다른 방법은 주기적인 전류 역전을 인가시키는 단계를 포함한다. 이런 역전 공정을 위해서는, 더욱 저항성 있는 용액(즉, 지지전해질이 없는)을 가지는 것이 유리하며, 이는 우선적으로 용해하기를 바라는 연장된미세구조물에 용해 전류의 초점을 맞추는 작용을 하기 때문에 그러하다.
일부 특수한 응용예에서는, 작은 양의 산, 염기 또는 염을 도금 용액에 넣는 것이 이로울 수 있다. 이러한 잇점의 예는 특정의 증착, 합성(complexation), 페하(pH) 조정, 용해도 상승 또는 감소 등을 개선하는 이온의 어떤 특정한 흡착일 수도 있다. 본 발명은 또한 이와 같은 산, 염기 또는 염을 약 0.4 M에 이르기까지 전해질에 첨가하는 것을 예상한다.
높은 구리 농도(즉, > 0.8 M)를 가지는 도금 용액이 미세구조물을 도금할 때 접하게 되는 질량 수송 제한을 극복하기에 유리하다. 특히, 높은 종횡비(aspect ratio)를 가진 마이크론 스케일의 미세구조물은 통상적으로 그 내부에 단지 최소의 전해질 흐름 만을 허용하거나 또는 전해질 흐름을 전혀 허용하지 않기 때문에, 이온 수송은 금속을 이들 미세구조물로 증착시키기 위해 확산에만 의존한다. 전해질 내의 높은 구리 농도, 바람직하기로 약 0.85 M 또는 그 이상의 농도는 확산 공정을 강화하고 질량 수송의 제한을 감소시키거나 제거한다. 도금 공정에 소요되는 금속 농도는 온도 및 전해질의 산 농도 등과 같은 인자에 의존한다. 바람직한 금속 농도는 약 0.8 내지 약 1.2 M이 된다.
본 발명의 도금 용액은 통상적으로 약 10 ㎃/㎠ 내지 약 60 ㎃/㎠의 전류 밀도 범위에서 사용된다. 물론 100 ㎃/㎠과 같은 높은 전류 밀도 및 5 ㎃/㎠과 같은 낮은 전류 밀도가 적당한 조건하에서 사용될 수도 있다. 펄스 전류 또는 주기적인역전 전류가 사용되는 도금 조건에서는, 약 5 ㎃/㎠ 내지 약 400 ㎃/㎠의 전류 밀도 범위가 주기적으로 사용될 수 있다.
도금 용액의 작용 온도는 약 0℃ 내지 약 95℃의 범위를 가질 수 있다. 바람직하기로, 용액의 온도 범위는 약 20℃ 내지 약 50℃의 범위이다.
본 발명의 도금 용액은 또한 바람직하기로 염화물(chloride) 이온, 브롬화물(bromide), 플루오르화물(fluoride), 요오드화물(iodide), 염소산염(chlorate) 또는 과염소산염(perchlorate) 이온과 같은 할로겐화물 이온(halide ion)을 통상적으로 약 0.5 g/ℓ 이하의 양으로 함유한다. 그러나, 이 발명은 또한 염화물 또는 다른 할로겐화물 이온이 없는 구리 도금용액의 사용을 예상한다.
전술한 구성에 덧붙여서, 도금 용액은 통상 소량으로(ppm 범위) 들어가는 다양한 첨가물을 함유할 수도 있다. 첨가물은 일반적으로 두께 분포(평탄제), 도금된 필름의 반사도(광택제), 그 입자 크기(입자정제제), 응력(응력축소제), 도금용액에 의한 부분의 접착과 습윤(습윤제), 및 기타 공정과 필름의 특성을 개선한다. 또한 본 발명은 주기적인 역전 도금사이클 동안에 높은 종횡비의 미세구조물의 충진을 향상시키기 위하여 비대칭의 양극의 수송계수(αa)와 음극의 수송계수(αc)를 생성하는 첨가물을 사용을 예상한다.
본 발명의 대부분의 제형에서 실용되는 첨가물은 다음의 화학 약품의 그룹중의 하나 또는 그 이상으로부터 작은 양(ppm레벨의)을 구성한다.
1. 에테르(ether) 및 폴리알킬렌 글리콜(polyalkylene glycols)을 포함한 폴리에테르(polyether).
2. 유기 황화합물(organic sulfur compounds)과 그들의 대응 염(corres- ponding salts) 및 그들의 폴리전해질 유도체(ployelectrolyte derivatives).
3. 유기 질소화합물(organic nitrogen compounds)과 그들의 대응 염(corres- ponding salts) 및 그들의 폴리전해질 유도체(ployelectrolyte derivatives).
4. 극성 헤테로사이클(polar heterocycles)
5. 할로겐화물 이온(halide ion), 예컨대 Cl-
이하, 본 발명의 보다 상세한 이해를 위하여 실시예를 참조하여 설명한다. 이 실시예들은 설명의 목적으로 여기에 제시되는 것이지 본 발명의 범위를 제한하기 위한 것은 아니다.
실시예 Ⅰ
210 g/L의 황산구리 펜타하이드레이트(copper sulfate pentahydrate)를 함유한 전기도금 전해조가 준비되었다. 그런 다음, 금속화된 웨이퍼의 평평한 탭이 이 용액 내에서 40 ㎃/㎠의 평균 전류 밀도에서 교반하지 않는 상태에서 도금되었다. 결과적인 증착은 희미(dull)하고 핑크색이다.
실시예 Ⅱ
그런 다음, 실시예 Ⅰ의 전해조에 염산(HCl) 형태의 염화물 50 mg/L가 첨가되었다. 그런 다음, 또 다른 탭이 동일한 조건을 사용하여 도금되었다. 결과적인 증착은 더욱 빛나며 그리고 현미경하에서 약간의 입자 미세화를 보여준다.
실시예 Ⅲ
그런 다음, 실시예 Ⅱ의 전해조에 다음 표의 화합물이 첨가된다.
화합물 대략의 양(mg/L)
사프라닌 O(Safranine O) 4.3
야누스 그린 B (Janus Green B) 5.1
2-히드록시에틸 이황화물(2-Hydroxyethyl disulfide) 25
UCON(등록상표) 75-H-1400(유니온 카바이드(Union Carbide)에 의해 상용화된 평균 분자량 1400을 가진 폴리알킬렌 글리콜(polyalkylene glycols)) 641
그런 다음, 또 다른 탭이 10 ㎃/㎠의 평균 전류 밀도에서 교반하지 않는 상태에서 도금되었다. 결과적인 증착은 모서리 효과(edge effect)를 가지나 더욱 빛나며 그리고 입자 미세화를 보여준다.
실시예 Ⅳ
실시예 Ⅱ의 전해조에 다음 표의 화합물이 첨가된다.
화합물 대략의 양(mg/L)
2-히드록시-벤조트리아졸(2-Hydroxy-Benzotriazole) 14
이반 블루 (Evan Blue) 3.5
프로필렌 글리콜(Propylene Glycol) 600
또 다른 탭이 40 ㎃/㎠의 평균 전류 밀도에서 약간 교반하면서 도금되었다. 결과적인 증착은 모서리 효과를 가지나 더욱 빛나며 그리고 입자 미세화를 보여준다.
실시예 Ⅴ
실시예 Ⅱ의 전해조에 다음 표의 화합물이 첨가된다.
화합물 대략의 양(mg/L)
벤질화 폴리에틸레니민 (Benzylated Polyethylenimine) 3.6
알시안 블루 (Alcian Blue) 15
2-히드록시에틸 이황화물(2-Hydroxyethyl disulfide) 25
UCON 75-H-1400(유니온 카바이드에 의해 상용화된 평균 분자량 1400을 가진 폴리알킬렌 글리콜(polyalkylene glycols)) 357
또 다른 탭이 20 ㎃/㎠의 평균 전류 밀도에서 교반하지 않는 상태에서 도금되었다. 결과적인 증착은 모서리 효과를 가지나 더욱 빛나며 그리고 입자 미세화를 보여준다.
실시예 Ⅵ
적당한 흐름 속도를 사용하며 200 mm 웨이퍼를 도금하도록 설계된 도금조를 채우기에 충분한 전해질을 만들기 위하여, 구리 도금 용액이 77.7 g/L의 황산구리 펜타하이드레이트(copper sulfate pentahydrate)(0.3 Molar CuSO4 x5H2O)와, 100 g/L의 농축 황산 및 15.5 ㎤/L의 상용 첨가 혼합물을 증류수에 용해시켜서 만들어졌다. 약 1500Å의 두께를 가지며 물리 기상 증착(physical vapor deposition; PVD)에 의해 도포된, 시드 구리층으로 시드된(seeded) 구비한 웨이퍼가 상기 도금조에 배치되고, 표면이 하방을 향하게 되며, 그리고 웨이퍼의 둘레에서 음극성의 접촉이 만들어졌다. 가용성 구리 양극이 도금되는 웨이퍼와 평행하게 4 인치(10.16 ㎝) 아래에 배치되었다. 증착의 '연소(burning)' 및 변색된 진갈색의 증착을 얻는 일 없이 도포될 수 있는 최대 전류 밀도는 6 ㎃/㎠로 제한되었다. 이들 조건(6 ㎃/㎠) 하에서, 구리 시드된 웨이퍼가 약 1.5 ㎛ 두께의 증착을 생성하기 위하여 약 12분 동안 도금되었다. 전기적인 시트 저항 측정으로부터 결정되는 바와 같은 구리 두께의 분포는 1 시그마(sigma)에서 10% 이상 더 나쁘다. 또한 웨이퍼 둘레 상의 전류 공급 접촉부에 인접한 곳에서 증착 두께가 더 커지는 터미널 효과가 발견된다.
실시예 Ⅶ
실시예 Ⅵ의 과정이 산이 용액에 첨가되지 않는 점을 제외하고 반복되었다. 또한, 구리 농도도 약 0.8 M로 증가되었다. 실시예 Ⅵ의 하드웨어(도금조)와 동일한 하드웨어, 동일한 흐름 등을 이용하여, 지금은 변색된 증착을 생성함 없이 전류 밀도를 약 40 ㎃/㎠까지 상승시키는 것이 가능하다. 시드된 웨이퍼가 동일한 두께(약 1.5 ㎛)의 밝고 빛나는 구리를 생성하기 위하여 25 ㎃/㎠에서 약 3분 동안 도금되었다. 두께 분포가 다시 (실시예 Ⅵ에서와 같은 전기적인 저항을 사용하여) 측정되고, 1 시그마에서 2% 내지 3% 임이 알려진다. 터미널 효과는 더 이상 발견되지 않는다.
본 발명에 따르면, 기판 상에 균일한 코팅을 제공하고 기판 상에 형성된 예컨대 마이크론 스케일 및 그 보다 작은 스케일의 미세구조물의 결함없는 충진을 제공하는 새로운 금속 도금 용액이 제공된다.

Claims (29)

  1. 0.8M 이상의 금속 이온과 총 0.4M 이하의 지지 전해질을 함유하는 도금 용액을 이용하여 반도체 기판 상의 미세 구조물 내에 금속을 형성시키는 단계를 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 금속이 구리인,
    반도체 기판 상에 금속을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 금속 이온이 구리 이온인,
    반도체 기판 상에 금속을 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 구리 이온이 황산구리, 카퍼 플루오로보레이트(copper fluoroborate), 글루콘산구리(copper gluconate), 카퍼 설파메이트(copper sulfamate), 카퍼 설포네이트(copper sulfonate), 피로인산구리(copper pyrophosphate), 염화구리(copper chloride), 시안화구리(copper cyanide), 또는 이들의 혼합물 중에서 선택되는 구리염에 의해 제공되는,
    반도체 기판 상에 금속을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 지지 전해질은 황산(sulfuric acid), 술퍼믹산(sulfamic acid), 플루오로화붕산(fluoboric acid), 술폰산(sulfonic acid), 염산(hydrochloric acid), 질산(nitric acid), 과염소산(perchloric acid), 글루콘산(gluconic acid), 또는 이들의 혼합물로부터 선택되는,
    반도체 기판 상에 금속을 형성하는 방법.
  6. 제 2 항에 있어서,
    상기 지지전해질이 황산을 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 기판의 전자적인 저항이 0.001 Ohms/㎠과 1000 Ohms/㎠ 사이인,
    반도체 기판 상에 금속을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 지지전해질의 농도가 0.05 M 이하인,
    반도체 기판 상에 금속을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 용액이 폴리에테르로부터 선택되는 하나 또는 그 이상의 첨가물을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 용액이 폴리알킬렌 글리콜(polyalkylene glycols)로부터 선택되는 하나 또는 그 이상의 첨가물을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 용액이 유기 황화합물, 유기 황화합물의 염, 이들의 폴리전해질 유도체 및 이들의 혼합물로부터 선택되는 하나 또는 그 이상의 첨가물을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 용액이 유기 질소화합물, 유기 질소화합물의 염, 이들의 폴리전해질 유도체 및 이들의 혼합물로부터 선택되는 하나 또는 그 이상의 첨가물을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 용액이 극성 헤테로사이클(polar heterocycles)을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 용액이 할로겐화물 이온(halide ion)을 더 포함하는,
    반도체 기판 상에 금속을 형성하는 방법.
  15. 0.4M 이하의 지지 전해질을 함유하는 도금 용액을 사용하여 반도체 기판 상의 미세 구조물 내에 금속을 전착시키는 단계를 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 지지전해질은 산을 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  17. 제 16 항에 있어서,
    상기 산이 황산(sulfuric acid), 술퍼믹산(sulfamic acid), 플루오로화붕산(fluoboric acid), 술폰산(sulfonic acid), 염산(hydrochloric acid), 질산(nitric acid), 과염소산(perchloric acid), 글루콘산(gluconic acid), 또는 이들의 혼합물로부터 선택되는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  18. 제 15 항에 있어서,
    상기 도금 용액은 구리를 더 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  19. 제 18 항에 있어서,
    상기 도금 용액 내의 구리 농도는 0.8M 이상인,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  20. 제 15 항에 있어서,
    상기 도금 용액은 구리염을 더 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  21. 제 15 항에 있어서,
    상기 전해질은 에테르와 폴리에테르로 구성된 그룹으로부터 선택된 첨가물을 더 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  22. 제 21 항에 있어서,
    상기 폴리에테르는 폴리알킬렌 글리콜(polyalkylene glycols)을 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  23. 제 15 항에 있어서,
    상기 도금 용액은 유기 황화합물, 유기 황화합물의 염, 그리고 이들의 폴리 전해질 유도체를 포함하는 그룹으로부터 선택되는 첨가물을 더 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  24. 제 23 항에 있어서,
    상기 도금 용액이 일반식 R-S-S-R'의 유기 이황화물 화합물을 포함하는 그룹으로부터 선택되는 첨가물을 더 포함하고,
    상기 R은 1 내지 6의 탄소원자와 수용성 그룹을 구비한 그룹이고,
    상기 R'는 상기 R과 동일한 그룹이거나 또는 1 내지 6의 탄소원자와 수용성 그룹을 구비한 다른 그룹인,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  25. 제 15 항에 있어서,
    상기 도금 용액이 유기 질소화합물, 유기 질소화합물의 염, 그리고 이들의 폴리 전해질 유도체를 포함하는 그룹으로부터 선택되는 첨가물을 더 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  26. 제 15 항에 있어서,
    상기 전착 단계는 10mA/㎠ 내지 60 mA/㎠ 범위의 전류 밀도에서 수행되는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  27. 제 15 항에 있어서,
    상기 전착 단계는 펄스 전류 또는 주기적인 역전 전류를 사용하여 수행되는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  28. 제 15 항에 있어서,
    상기 도금 용액은 상기 전착 단계 중에 20℃ 내지 50℃ 범위의 온도를 갖는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
  29. 반도체 기판 상에 금속 시이드 층을 증착시키는 단계, 및
    금속 이온과 0.4M 이하의 지지 전해질을 함유하는 도금 용액을 이용하여 상기 반도체 기판 상의 미세 구조물 내에 금속을 전착시키는 단계를 포함하는,
    반도체 기판 상에 금속 필름을 형성하는 방법.
KR1019980052711A 1998-04-21 1998-12-03 전착화학 KR100618722B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US8252198P 1998-04-21 1998-04-21
US60/082,521 1998-04-21
US9/114,865 1998-07-13
US09/114,865 1998-07-13
US09/114,865 US6113771A (en) 1998-04-21 1998-07-13 Electro deposition chemistry

Publications (2)

Publication Number Publication Date
KR19990081793A KR19990081793A (ko) 1999-11-15
KR100618722B1 true KR100618722B1 (ko) 2006-10-24

Family

ID=26767550

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980052711A KR100618722B1 (ko) 1998-04-21 1998-12-03 전착화학

Country Status (6)

Country Link
US (4) US6113771A (ko)
EP (1) EP0952242B1 (ko)
JP (1) JP3510141B2 (ko)
KR (1) KR100618722B1 (ko)
DE (1) DE69829040D1 (ko)
TW (1) TW531569B (ko)

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6946065B1 (en) * 1998-10-26 2005-09-20 Novellus Systems, Inc. Process for electroplating metal into microscopic recessed features
US6793796B2 (en) * 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6379522B1 (en) 1999-01-11 2002-04-30 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal
US6551484B2 (en) 1999-04-08 2003-04-22 Applied Materials, Inc. Reverse voltage bias for electro-chemical plating system and method
US6571657B1 (en) 1999-04-08 2003-06-03 Applied Materials Inc. Multiple blade robot adjustment apparatus and associated method
US6444110B2 (en) * 1999-05-17 2002-09-03 Shipley Company, L.L.C. Electrolytic copper plating method
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
EP1069210A1 (en) * 1999-07-12 2001-01-17 Applied Materials, Inc. Process for electrochemical deposition of high aspect ratio structures
TW466729B (en) * 1999-07-26 2001-12-01 Tokyo Electron Ltd Plating method and device, and plating system
JP2001089896A (ja) * 1999-09-20 2001-04-03 Hitachi Ltd めっき方法,めっき液,半導体装置及びその製造方法
US6605204B1 (en) * 1999-10-14 2003-08-12 Atofina Chemicals, Inc. Electroplating of copper from alkanesulfonate electrolytes
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
JP4394234B2 (ja) 2000-01-20 2010-01-06 日鉱金属株式会社 銅電気めっき液及び銅電気めっき方法
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6406609B1 (en) * 2000-02-25 2002-06-18 Agere Systems Guardian Corp. Method of fabricating an integrated circuit
JP3465077B2 (ja) * 2000-03-08 2003-11-10 石原薬品株式会社 錫、鉛及び錫−鉛合金メッキ浴
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2004513221A (ja) 2000-05-23 2004-04-30 アプライド マテリアルズ インコーポレイテッド 銅シード層の異常を克服し表面形状サイズ及びアスペクト比を調整する方法と装置
US6508924B1 (en) * 2000-05-31 2003-01-21 Shipley Company L.L.C. Control of breakdown products in electroplating baths
WO2001096632A2 (en) 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for conditioning electrochemical baths in plating technology
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
US20020112964A1 (en) * 2000-07-12 2002-08-22 Applied Materials, Inc. Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US7227265B2 (en) * 2000-10-10 2007-06-05 International Business Machines Corporation Electroplated copper interconnection structure, process for making and electroplating bath
KR20020029626A (ko) * 2000-10-13 2002-04-19 마티네즈 길러모 전해질
US6679983B2 (en) 2000-10-13 2004-01-20 Shipley Company, L.L.C. Method of electrodepositing copper
US6797146B2 (en) 2000-11-02 2004-09-28 Shipley Company, L.L.C. Seed layer repair
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
US6478937B2 (en) 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
US6531039B2 (en) 2001-02-21 2003-03-11 Nikko Materials Usa, Inc. Anode for plating a semiconductor wafer
WO2002086196A1 (en) * 2001-04-19 2002-10-31 Rd Chemical Company Copper acid baths, system and method for electroplating high aspect ratio substrates
US6784104B2 (en) * 2001-07-27 2004-08-31 Texas Instruments Incorporated Method for improved cu electroplating in integrated circuit fabrication
JP4011336B2 (ja) * 2001-12-07 2007-11-21 日鉱金属株式会社 電気銅めっき方法、電気銅めっき用純銅アノード及びこれらを用いてめっきされたパーティクル付着の少ない半導体ウエハ
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
JP4034095B2 (ja) * 2002-03-18 2008-01-16 日鉱金属株式会社 電気銅めっき方法及び電気銅めっき用含リン銅アノード
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20040065551A1 (en) * 2002-05-07 2004-04-08 University Of Southern California Electrochemical deposition with enhanced uniform deposition capabilities and/or enhanced longevity of contact masks
US6808611B2 (en) * 2002-06-27 2004-10-26 Applied Materials, Inc. Methods in electroanalytical techniques to analyze organic components in plating baths
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040134775A1 (en) * 2002-07-24 2004-07-15 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US20040168925A1 (en) * 2002-10-09 2004-09-02 Uziel Landau Electrochemical system for analyzing performance and properties of electrolytic solutions
US6981318B2 (en) 2002-10-22 2006-01-03 Jetta Company Limited Printed circuit board manufacturing method
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7919173B2 (en) * 2002-12-31 2011-04-05 Albany International Corp. Method for controlling a functional property of an industrial fabric and industrial fabric
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20050072683A1 (en) * 2003-04-03 2005-04-07 Ebara Corporation Copper plating bath and plating method
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
JP2005029818A (ja) * 2003-07-09 2005-02-03 Ebara Corp めっき方法
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
JP2005146398A (ja) * 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
WO2006018872A1 (ja) * 2004-08-18 2006-02-23 Ebara-Udylite Co., Ltd. 銅めっき用添加剤およびこれを用いる電子回路基板の製造方法
US20060071338A1 (en) * 2004-09-30 2006-04-06 International Business Machines Corporation Homogeneous Copper Interconnects for BEOL
TW200632147A (ko) * 2004-11-12 2006-09-16
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
JP4704761B2 (ja) * 2005-01-19 2011-06-22 石原薬品株式会社 電気銅メッキ浴、並びに銅メッキ方法
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US7155319B2 (en) * 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
WO2006102180A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
KR20080011644A (ko) * 2005-06-03 2008-02-05 후지필름 가부시키가이샤 도금 처리 방법, 도전성 필름 및 투광성 전자기파 차폐필름
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7851222B2 (en) * 2005-07-26 2010-12-14 Applied Materials, Inc. System and methods for measuring chemical concentrations of a plating solution
KR100710192B1 (ko) * 2005-12-28 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US20070170066A1 (en) * 2006-01-06 2007-07-26 Beaudry Christopher L Method for planarization during plating
US20070178697A1 (en) * 2006-02-02 2007-08-02 Enthone Inc. Copper electrodeposition in microelectronics
US20080067076A1 (en) * 2006-09-19 2008-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing oxygen content in ECP solution
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20080264774A1 (en) * 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US7645393B2 (en) * 2007-04-27 2010-01-12 Kesheng Feng Metal surface treatment composition
US20080277285A1 (en) * 2007-05-08 2008-11-13 Interuniversitair Microelektronica Centrum Vzw (Imec) Bipolar electroless processing methods
US7887693B2 (en) 2007-06-22 2011-02-15 Maria Nikolova Acid copper electroplating bath composition
TWI341554B (en) * 2007-08-02 2011-05-01 Enthone Copper metallization of through silicon via
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7905994B2 (en) 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7776741B2 (en) * 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
KR101274363B1 (ko) 2009-05-27 2013-06-13 노벨러스 시스템즈, 인코포레이티드 얇은 시드층 상의 도금을 위한 펄스 시퀀스
US9109295B2 (en) * 2009-10-12 2015-08-18 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US10472730B2 (en) 2009-10-12 2019-11-12 Novellus Systems, Inc. Electrolyte concentration control system for high rate electroplating
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104109886A (zh) * 2013-04-22 2014-10-22 广东致卓精密金属科技有限公司 一种超填孔镀铜工艺
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
PL406197A1 (pl) * 2013-11-22 2015-05-25 Inphotech Spółka Z Ograniczoną Odpowiedzialnością Sposób łączenia włókien światłowodowych pokrytych warstwą przewodzącą z elementami metalowymi
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017146873A1 (en) 2016-02-26 2017-08-31 Applied Materials, Inc. Enhanced plating bath and additive chemistries for cobalt plating
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6859150B2 (ja) * 2017-03-22 2021-04-14 株式会社荏原製作所 めっき装置及びめっき槽構成の決定方法
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10692735B2 (en) 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112126952A (zh) * 2020-09-22 2020-12-25 广州三孚新材料科技股份有限公司 一种异质结太阳能电池用铜电镀液及其制备方法
CN114603844B (zh) * 2022-05-12 2022-09-16 之江实验室 一种电子器件的一体化增材制造单片集成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2882209A (en) * 1957-05-20 1959-04-14 Udylite Res Corp Electrodeposition of copper from an acid bath

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US63064A (en) * 1867-03-19 Jacob b
NL84048C (ko) * 1952-07-05
DE932709C (de) * 1952-08-31 1955-09-08 W Kampschulte & Cie Dr Verfahren zur Abscheidung von glatten und glaenzenden Kupferueberzuegen
SU443108A1 (ru) * 1968-11-22 1974-09-15 Центральный Научно-Исследовательский Институт Технологии Машиностроения Электролит меднени
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
AU496780B2 (en) * 1975-03-11 1978-10-26 Oxy Metal Industries Corporation Additives in baths forthe electrodeposition of copper
JPS5271871A (en) * 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) * 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4120711A (en) * 1977-09-30 1978-10-17 Universal Water Systems, Inc. Process for sealing end caps to filter cartridges
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5162262A (en) * 1989-03-14 1992-11-10 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device and manufactured method thereof
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JP2524436B2 (ja) * 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
JPH0544075A (ja) * 1991-08-15 1993-02-23 Nippon Riironaale Kk 無電解銅めつき代替銅ストライクめつき方法
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) * 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
US5512163A (en) * 1992-06-08 1996-04-30 Motorola, Inc. Method for forming a planarization etch stop
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5763108A (en) * 1997-03-05 1998-06-09 Headway Technologies, Inc. High saturtion magnetization material and magnetic head fabricated therefrom
US6024857A (en) 1997-10-08 2000-02-15 Novellus Systems, Inc. Electroplating additive for filling sub-micron features
US6024856A (en) * 1997-10-10 2000-02-15 Enthone-Omi, Inc. Copper metallization of silicon wafers using insoluble anodes
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6379522B1 (en) * 1999-01-11 2002-04-30 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2882209A (en) * 1957-05-20 1959-04-14 Udylite Res Corp Electrodeposition of copper from an acid bath

Also Published As

Publication number Publication date
EP0952242A1 (en) 1999-10-27
US6113771A (en) 2000-09-05
TW531569B (en) 2003-05-11
DE69829040D1 (de) 2005-03-24
US6350366B1 (en) 2002-02-26
JPH11310896A (ja) 1999-11-09
KR19990081793A (ko) 1999-11-15
EP0952242B1 (en) 2005-02-16
JP3510141B2 (ja) 2004-03-22
US20030205474A1 (en) 2003-11-06
US6610191B2 (en) 2003-08-26
US20020063064A1 (en) 2002-05-30

Similar Documents

Publication Publication Date Title
KR100618722B1 (ko) 전착화학
US6544399B1 (en) Electrodeposition chemistry for filling apertures with reflective metal
KR100760337B1 (ko) 시드층 보수방법
JP2859326B2 (ja) 光沢があり、亀裂を有さない銅被膜を電気的に析出させる酸性水浴及び印刷回路の導電路補強法
JP2003003291A (ja) 複数工程からなる金属析出方法
US9493886B2 (en) Low internal stress copper electroplating method
KR20040055684A (ko) 역 펄스 도금 조성물 및 방법
KR20030028694A (ko) 기판상에 금속층을 침착시키기 위한 도금조 및 방법
US20210262105A1 (en) Acidic aqueous composition for electrolytic copper plating
US9212429B2 (en) Gold plating solution
EP1152071B1 (en) Copper plating method
US6379522B1 (en) Electrodeposition chemistry for filling of apertures with reflective metal
KR20050085664A (ko) 구리 배선의 전기화학적 또는 화학적 침착을 위한 도금용액 및 방법
US8268155B1 (en) Copper electroplating solutions with halides
KR20010100890A (ko) 구리 전기도금
KR100711426B1 (ko) 인쇄회로기판 스루홀 도금용 산성 동전해 용액의 조성물
US20230142446A1 (en) Acidic aqueous composition for electrolytically depositing a copper deposit

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110728

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee