KR100403328B1 - Forming method for self aligned contact of semiconductor device - Google Patents

Forming method for self aligned contact of semiconductor device Download PDF

Info

Publication number
KR100403328B1
KR100403328B1 KR10-1999-0048291A KR19990048291A KR100403328B1 KR 100403328 B1 KR100403328 B1 KR 100403328B1 KR 19990048291 A KR19990048291 A KR 19990048291A KR 100403328 B1 KR100403328 B1 KR 100403328B1
Authority
KR
South Korea
Prior art keywords
contact
forming
organic low
dielectric layer
low dielectric
Prior art date
Application number
KR10-1999-0048291A
Other languages
Korean (ko)
Other versions
KR20010045126A (en
Inventor
김진웅
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-1999-0048291A priority Critical patent/KR100403328B1/en
Publication of KR20010045126A publication Critical patent/KR20010045126A/en
Application granted granted Critical
Publication of KR100403328B1 publication Critical patent/KR100403328B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 자기정렬적인 콘택 형성방법에 관한 것으로,The present invention relates to a method for forming a self-aligned contact of a semiconductor device,

반도체기판 상에 제1마스크산화막과 절연막 스페이서가 구비되는 게이트전극을 형성하고 전체표면상부에 유기 저유전층 ( organic low-k layer ) 을 형성한 다음, 상기 유기 저유전층 상부에 제2마스크산화막을 형성하고 상기 반도체기판의 예정된 영역을 노출시키는 콘택마스크를 이용하여 상기 제2마스크산화막과 유기 저유전층을 플라즈마식각해 콘택홀을 형성하되, 상기 제2마스크산화막 하부로 언더컷을 형성한 다음, 상기 언더컷을 매립하는 콘택패드용 폴리실리콘을 전체표면상부에 형성하고 상기 유기 저유전층을 노출시키는 평탄화식각공정으로 콘택패드를 형성한 다음, 상기 유기 저유전층을 제거하고 상기 콘택패드의 예정된 영역을 노출시키는 층간절연막을 형성하는 공정으로 콘택공정시 콘택마진을 용이하게 확보할 수 있어 높은 식각선택비 차이를 필요로 하지 않고 공정을 용이하게 실시할 수 있어 반도체소자의 생산성을 향상시킬 수 있는 기술이다.A gate electrode including a first mask oxide layer and an insulating layer spacer is formed on a semiconductor substrate, an organic low-k layer is formed on an entire surface, and a second mask oxide layer is formed on the organic low-k layer. And forming a contact hole by plasma etching the second mask oxide layer and the organic low dielectric layer using a contact mask exposing a predetermined region of the semiconductor substrate, and forming an undercut under the second mask oxide layer. A contact pad is formed by a planar etching process in which a polysilicon for embedding contact pad is formed on the entire surface and the organic low dielectric layer is exposed, and then the interlayer insulating layer which removes the organic low dielectric layer and exposes a predetermined region of the contact pad. High etching selectivity as contact margin can be easily secured during contact process It is a technology that can easily perform the process without requiring a difference, thereby improving the productivity of the semiconductor device.

Description

반도체소자의 자기정렬적인 콘택 형성방법{Forming method for self aligned contact of semiconductor device}Forming method for self aligned contact of semiconductor device

본 발명은 반도체소자의 자기정렬적인 콘택 형성방법에 관한 것으로, 특히 유기 저유전층을 이용하여 콘택패드를 용이하게 형성하고 그에 따른 후속공정으로 콘택공정을 용이하게 실시할 수 있도록 하여 반도체소자의 생산성을 향상시킬 수있는 기술에 관한 것이다.The present invention relates to a method for forming a self-aligned contact of a semiconductor device, and in particular, to form a contact pad easily using an organic low-k dielectric layer and to facilitate the contact process in a subsequent process accordingly, thereby improving productivity of the semiconductor device. It is about technology that can be improved.

일반적으로, 메모리 소자에서 중요한 특성인 리프레쉬 타임 ( refresh time ) 은 주로 저장전극 노드와 트랜지스터의 드레인을 연결하는 저장전극 콘택공정시 상기 드레인이 손상되어 발생되는 누설전류에 의하여 결정된다.In general, a refresh time, which is an important characteristic of a memory device, is mainly determined by a leakage current generated by damaging the drain during a storage electrode contact process connecting the storage electrode node and the drain of the transistor.

현재 사용되고 있는 노광기술로는 16 M DRAM 까지 콘택홀을 형성할 때 콘택홀 측벽의 도전층과 절연불량이 발생하지 않고 소자를 형성할 수 있으나, 소자가 고집적화됨에 따라 단위셀의 크기가 축소되고, 그에 따라서 콘택홀과 도전층의 간격이 좁아지게 된다.In the current exposure technology, when forming a contact hole up to 16 M DRAM, a device can be formed without a poor insulation with the conductive layer of the sidewall of the contact hole. However, as the device is highly integrated, the unit cell size is reduced. As a result, the gap between the contact hole and the conductive layer is narrowed.

상기와 같이 좁아진 콘택홀을 형성하기 위하여 콘택의 크기를 축소시켜야 하고, 이를 위하여 노광방식을 바꾸거나, 마스크를 바꾸어서 어느 정도는 해결할 수 있었다. 또한, 자기정렬적인 콘택 ( self-aligned contact, 이하에서 SAC 라 함 ) 으로 이를 해결하기도 하였다.In order to form a narrowed contact hole as described above, the size of the contact should be reduced, and for this purpose, it was solved to some extent by changing the exposure method or changing the mask. In addition, self-aligned contact (hereafter referred to as SAC) was solved.

한편, SAC 공정중 가장 각광받는 것으로 산화막 식각공정시 식각장벽으로 질화막을 사용하는 자기정렬적인 콘택 ( nitride barrier SAC, 이하에서 NBSAC 이라 함 ) 공정을 사용한다.On the other hand, the most popular among the SAC process is a self-aligned contact (nitride barrier SAC, hereinafter referred to as NBSAC) process using a nitride film as an etching barrier during the oxide film etching process.

그리고, 상기 NBSAC 공정은 크게 산화막 식각공정과 질화막 식각공정으로 대별된다.The NBSAC process is roughly classified into an oxide layer etching process and a nitride layer etching process.

이중에서 산화막 식각 공정은, 질화막에 대하여 높은 식각 선택비를 얻기 위하여 폴리머 유발 가스인 C3F8이나 C4F8가스를 다량 사용한다. 상기 C3F8이나 C4F8가스는 많은 폴리머를 유발하여 질화막에 대한 식각 선택비는 확보할 수 있으나 콘택홀 내에서 산화막이 완전히 제거되지 않는 식각 멈춘 문제가 발생한다.In the oxide film etching process, a large amount of C 3 F 8 or C 4 F 8 gas, which is a polymer induced gas, is used to obtain a high etching selectivity with respect to the nitride film. The C 3 F 8 or C 4 F 8 gas may induce a large number of polymers to secure an etching selectivity for the nitride film, but there is a problem that the etch stop is not completely removed in the contact hole.

여기서, 질화막에 대한 선택비와 식각 멈춤은, 서로 상반된 현상으로 프로세스 윈도우 ( process window ) 를 좁게 하고 재현성을 악화시키는 요인이 된다.Here, the selectivity and the etch stop with respect to the nitride film are opposite to each other, which causes the process window to be narrowed and the reproducibility deteriorates.

상기 플로세스 윈도우를 확장하기 위해서는 폴리머 발생이 적은 조건을 사용하며, 이 경우 질화막의 두께를 증가하여야 한다. 그러나, 질화막의 두께를 증가시킬 경우 콘택면적의 감소를 초래하기 때문에 이를 보완하기 위해서 질화막을 등방성 식각하여야 한다.In order to extend the flow window, a condition in which polymer is generated is used. In this case, the thickness of the nitride film must be increased. However, if the thickness of the nitride film is increased, the contact area is reduced, so that the nitride film should be isotropically etched to compensate for this.

이외에 질화막 식각은, 질화막 아래의 산화막이 전기적 절연막으로 사용되기 때문에 산화막의 손상이 가능한 적어야 하며, 산화막에 대하여 높은 식각 선택비 차이가 필요하며, 주변회로 지역에 산화막 식각시 반도체기판이 노출되는 부분이 있어 상기 반도체기판의 식각을 최소화하는 조건을 필요로 한다.In addition, since the oxide layer under the nitride layer is used as an electrical insulating layer, the nitride layer has to be as little as possible to damage the oxide layer. A high etching selectivity difference with respect to the oxide layer is required, and the portion where the semiconductor substrate is exposed when the oxide layer is etched in the peripheral circuit area is required. There is a need for a condition that minimizes the etching of the semiconductor substrate.

그러나, 기존의 질화막 식각 장비의 하나인 이온 인듀스드 식각장비 ( ion induced etcher ) 는 등방성 식각과 산화막에 대하여 높은 식각 선택비를 얻을 수 없다.However, ion induced etcher, which is one of the conventional nitride film etching equipments, cannot obtain high etching selectivity for isotropic etching and oxide film.

그리고, 최근에 각광을 받고 있는 래디칼 식각장비 ( radical etcher ) 는 NF3, CF4, SF6등의 가스를 사용하고 있어 등방성 식각과 산화막에 대하여 높은 식각 선택비를 확보할 수 있으나 반도체기판인 실리콘에 대하여 높은 식각 선택비 확보는 불가능하다.In addition, the radical etcher, which has recently been in the spotlight, uses gases such as NF 3 , CF 4 , SF 6, etc., so it is possible to secure a high etching selectivity for isotropic etching and oxide films, but silicon as a semiconductor substrate It is not possible to secure high etch selectivity.

왜냐하면, 식각에 가장 큰 영향을 미치는 요인은 에천트 ( etchants ) 이지만 NF3, CF4, SF6등과 같이 주 에천트가 불소 ( fluorine ) 계열인 경우에 있어서, 상기 래디칼 식각장비는, 산화막, 질화막, 실리콘 모두 식각이 가능하기 때문에 박막의 결합력이 식각 속도를 결정하게 된다. 즉, 결합력이 산화막 > 질화막 > 실리콘 순서일 경우, 실리콘의 식각이 가장 빠르게 진행되기 때문에 질화막 식각공정시 실리콘에 에 대하여 높은 식각 선택비는 확보는 불가능하다.Because the most influential factor for etching is etchant, but in the case where the main etchant is a fluorine series such as NF 3 , CF 4 , SF 6, etc., the radical etching equipment is an oxide film or a nitride film. Since both silicon and silicon can be etched, the bonding force of the thin film determines the etching rate. That is, when the bonding force is in the order of oxide film> nitride film> silicon, since the etching of silicon proceeds fastest, it is impossible to secure a high etching selectivity with respect to silicon during the nitride film etching process.

결과적으로, 종래기술에 따른 NBSAC 공정은 고 선택비 확보 측면에서 공정을 진행하기 어렵고, 선택비를 확보한다고 해도 공정 마진을 확보하기 어려워 실제 양산 공정에 적용하기 어려운 문제점이 있다.As a result, the NBSAC process according to the prior art has a problem that it is difficult to proceed the process in terms of securing high selectivity, and even if the selectivity is secured, it is difficult to secure the process margin and thus it is difficult to apply to the actual production process.

본 발명은 상기한 종래기술의 문제점을 해결하기 위하여, 질화막층을 식각장벽층으로 사용하는 기존의 SAC 공정 대신에 유기 저유전층 ( organic low-k layer) 을 사용한 공정으로 패드 폴리 SAC 공정을 실시함으로써 용이하게 SAC 공정을 양산화시킬 수 있는 반도체소자의 자기정렬적인 콘택 방법을 제공하는데 그 목적이 있다.In order to solve the above problems of the prior art, by performing a pad poly SAC process by using an organic low-k layer instead of the conventional SAC process using a nitride layer as an etch barrier layer. It is an object of the present invention to provide a self-aligned contact method of a semiconductor device capable of easily mass-producing a SAC process.

도 1a 내지 도 1c 는 본 발명의 실시예에 따른 반도체소자의 자기정렬적인 콘택 형성방법을 도시한 단면도.1A to 1C are cross-sectional views illustrating a method for forming a self-aligned contact of a semiconductor device according to an embodiment of the present invention.

< 도면의 주요부분에 대한 부호의 설명 ><Description of Symbols for Major Parts of Drawings>

11 : 반도체기판 13 : 도전체11: semiconductor substrate 13: conductor

15 : 제1마스크산화막 17 : 절연막 스페이서15: first mask oxide film 17: insulating film spacer

19 : 유기 저유전층 21 : 제2마스크산화막19 organic low dielectric layer 21 second mask oxide film

23 : 감광막패턴 25 : 언더컷23 photosensitive film pattern 25: undercut

27 : 콘택패드 29 : 층간절연막27: contact pad 29: interlayer insulating film

50 : 제1콘택홀 60 : 제2콘택홀50: first contact hole 60: second contact hole

이상의 목적을 달성하기 위해 본 발명에 따른 반도체소자의 자기정렬적인 콘택 형성방법은,Self-aligned contact forming method of a semiconductor device according to the present invention to achieve the above object,

반도체기판 상에 제1마스크산화막과 절연막 스페이서가 구비되는 게이트전극을 형성하는 공정과,Forming a gate electrode having a first mask oxide film and an insulating film spacer on the semiconductor substrate;

전체표면상부에 유기 저유전층을 형성하는 공정과,Forming an organic low dielectric layer over the entire surface,

상기 유기 저유전층 상부에 제2마스크산화막을 형성하는 공정과,Forming a second mask oxide film on the organic low dielectric layer;

상기 반도체기판의 예정된 영역을 노출시키는 콘택마스크를 이용하여 상기 제2마스크산화막과 유기 저유전층을 플라즈마식각해 콘택홀을 형성하되, 상기 제2마스크산화막 하부로 언더컷을 형성하는 공정과,Forming a contact hole by plasma etching the second mask oxide layer and the organic low dielectric layer using a contact mask exposing a predetermined region of the semiconductor substrate, and forming an undercut under the second mask oxide layer;

상기 언더컷을 매립하는 콘택패드용 폴리실리콘을 전체표면상부에 형성하는 공정과,Forming a contact pad for embedding the undercut on the entire surface thereof;

상기 유기 저유전층을 노출시키는 평탄화식각공정으로 콘택패드를 형성하는 공정과,Forming a contact pad by a planarization etching process exposing the organic low dielectric layer;

상기 유기 저유전층을 제거하고 상기 콘택패드의 예정된 영역을 노출시키는 층간절연막을 형성하는 공정을 포함하는 것을 특징으로한다.And removing the organic low dielectric layer and forming an interlayer insulating film exposing a predetermined region of the contact pad.

한편, 이상의 목적을 달성하기 위한 반도체소자의 자기정렬적인 콘택 형성방법의 원리는,On the other hand, the principle of the self-aligned contact forming method of a semiconductor device for achieving the above object,

유기 저유전층 식각공정에서 측면 언더컷 ( lateral under cut ) 를 형성하고 폴리실리콘을 증착하고 CMP 공정을 실시한 다음, 유기 저유전층과 마스크산화막을 제거하고 ILD 산화막을 제거한 후 SAC 공정을 실시하여 패드 폴리 SAC 을 형성함으로써 패드 폴리 위에 형성되는 SAC 공정에서 유기 저유전층 식각공정시 형성된 측면 언더컷 길이 만큼 리소그래피 공정 마진을 확보하는 것이다.In the organic low dielectric layer etching process, a lateral under cut is formed, polysilicon is deposited, a CMP process is performed, the organic low dielectric layer, a mask oxide layer is removed, an ILD oxide layer is removed, and a SAC process is performed to obtain a pad poly SAC. In the SAC process formed on the pad poly, the lithography process margin is secured by the length of the side undercut formed during the organic low dielectric layer etching process.

아울러, 유기 저유전층 식각공정시 공정조건을 조절하여 언더컷의 깊이를 조절하여 후속 공정마진을 확보하기 용이하게 하는 것이다.In addition, by controlling the process conditions during the organic low-k dielectric layer etching process to control the depth of the undercut to facilitate the subsequent process margin.

이하, 첨부된 도면을 참고로 하여 본 발명은 상세히 설명하기로 한다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

도 1a 내지 도 1c 는 본 발명의 실시예에 따른 반도체소자의 자기정렬적인 콘택 형성방법을 도시한 단면도이다.1A to 1C are cross-sectional views illustrating a method of forming self-aligned contacts in a semiconductor device according to an embodiment of the present invention.

먼저, 반도체기판(11) 상부에 게이트전극용 도전체(13)를 형성하고, 그 상부에 제1마스크산화막(15)을 형성한다.First, a conductor 13 for a gate electrode is formed on the semiconductor substrate 11, and a first mask oxide film 15 is formed on the semiconductor substrate 11.

그리고, 게이트전극 마스크를 이용한 사진식각공정으로 상기 제1마스크산화막(15)과 상기 도전체(13)을 식각하여 상기 반도체기판(11)의 활성영역 상에 도전체(13)과 제1마스크산화막(15)의 적층된 패턴을 형성한다.The first mask oxide film 15 and the conductor 13 are etched by a photolithography process using a gate electrode mask to etch the conductor 13 and the first mask oxide film on the active region of the semiconductor substrate 11. A laminated pattern of 15 is formed.

그 다음, 상기 적층된 패턴 측벽에 절연막 스페이서(17)를 형성한다. 이때, 상기 절연막 스페이서(17)는 전체표면상부에 일정두께의 절연막을 증착하고 이를 증착된 두께 만큼 이방성식각하여 형성한다.Next, an insulating film spacer 17 is formed on the stacked pattern sidewalls. In this case, the insulating film spacers 17 are formed by depositing an insulating film having a predetermined thickness on the entire surface and anisotropically etching it by the deposited thickness.

그리고, 전체표면상부에 유기 저유전층(19)을 형성하고 이를 평탄화시킨다.Then, an organic low dielectric layer 19 is formed on the entire surface and planarized.

이때, 상기 유기 저유전층(19)은 폴리머의 형태를 가지며 산화막보다 낮은 유전율을 갖는 물질로서, 반도체 제조공정을 진행할 수 있는 높은 온도에서도 견딜 수 있으며 산화막과 높은 친밀성을 가지고, 열적 안정성이 우수하다. 상기 유기 저유전층(19)의 예로서는 플레어 ( flare ) 와 실크 ( silk ) 와 같은 상품명을 갖는 물질이 있으며, 상기 제1,2마스크산화막(15,21)이나 절연막 스페이서(17)보다 식각이 잘되는 식각특성을 갖는다.In this case, the organic low dielectric layer 19 is a material having a polymer form and having a lower dielectric constant than the oxide film, and may withstand high temperatures at which the semiconductor manufacturing process may be performed, have high affinity with the oxide film, and have excellent thermal stability. . Examples of the organic low dielectric layer 19 may include materials having trade names such as flare and silk, and etching may be better than that of the first and second mask oxide layers 15 and 21 and the insulating layer spacer 17. Has characteristics.

그 다음, 상기 유기 저유전층(19) 상부에 제2마스크산화막(21)을 일정두께 형성한다.Next, a second mask oxide film 21 is formed on the organic low dielectric layer 19 at a predetermined thickness.

그리고, 상기 제2마스크산화막(21) 상부에 감광막패턴(23)을 형성한다. 이때, 상기 감광막패턴(23)은 콘택마스크(도시안됨)를 이용한 노광 및 현상공정으로 형성한다. (도 1a)The photoresist pattern 23 is formed on the second mask oxide layer 21. In this case, the photoresist pattern 23 is formed by an exposure and development process using a contact mask (not shown). (FIG. 1A)

그 다음, 상기 감광막패턴(23)을 마스크로하여 상기 제2마스크산화막(21)과 유기 저유전층(19)을 등방성식각공정으로 식각함으로써 상기 제2마스크산화막(21)의 하부에 상기 제2마스크산화막(21)과 제1마스크산화막(15)의 사이로 언더컷(25)을 형성하는 동시에 상기 반도체기판(11)의 예정된 영역을 노출시키는 제1콘택홀(50)을 형성한다.Subsequently, the second mask oxide layer 21 and the organic low dielectric layer 19 are etched by an isotropic etching process using the photoresist pattern 23 as a mask to form a lower portion of the second mask oxide layer 21 under the second mask oxide layer 21. An undercut 25 is formed between the oxide film 21 and the first mask oxide film 15, and a first contact hole 50 exposing a predetermined region of the semiconductor substrate 11 is formed.

이때, 상기 등방성식각공정은 산소와 질소가스를 이용한 플라즈마 식각공정으로 실시하되, 상기 유기 저유전층(19)의 식각공정에서는 아르곤이나 헬륨과 같은 비활성가스를 이용하여 실시함으로써 플라즈마의 안정성을 향상시킬 수 있다.In this case, the isotropic etching process may be performed by a plasma etching process using oxygen and nitrogen gas, but the etching process of the organic low dielectric layer 19 may be performed by using an inert gas such as argon or helium to improve the stability of the plasma. have.

여기서, 상기 등방성식각공정 대신 비등방성식각공정으로 조건을 조절하여 언더컷을 형성할 수도 있다.Here, the undercut may be formed by adjusting the conditions using the anisotropic etching process instead of the anisotropic etching process.

한편, 상기 감광막패턴(23)은 상기 상기 유기 저유전층(19)의 플라즈마 식각공정시 제거되며, 남아 있는 경우 별도의 식각공정으로 제거할 수 있다. (도 1b)Meanwhile, the photoresist pattern 23 may be removed during the plasma etching process of the organic low dielectric layer 19, and may be removed by a separate etching process if remaining. (FIG. 1B)

그 다음, 상기 제1콘택홀(50)을 매립하는 폴리실리콘을 전체표면상부에 형성하고 이를 상기 유기 저유전층(19)이 노출될때까지 식각하여 상기 제1콘택홀(50)보다 넓은 마진을 확보할 수 있는 콘택패드(27)를 형성한다.Then, polysilicon filling the first contact hole 50 is formed on the entire surface and etched until the organic low dielectric layer 19 is exposed to secure a wider margin than the first contact hole 50. The contact pad 27 which can be formed is formed.

이때, 상기 폴리실리콘은 도핑이 용이하도록 인슈트 공정으로 실시할 수도 있다.In this case, the polysilicon may be carried out in an in-shute process to facilitate doping.

그리고, 상기 유기 저유전층(19)이 노출될때까지 상기 제2마스크산화막(21)과 그 상부에 증착된 콘택패드용 폴리실리콘을 평탄화식각하여 상기 반도체기판(11)의 예정된 영역에 접속되는 콘택패드(27)를 형성한다.Then, the second pad oxide layer 21 and the contact pad polysilicon deposited thereon are planarized and etched until the organic low dielectric layer 19 is exposed, and then contact pads are connected to predetermined regions of the semiconductor substrate 11. (27) is formed.

이때, 상기 평탄화식각공정은 CMP 공정이나 에치백공정으로 실시한다.In this case, the planarization etching process is performed by a CMP process or an etch back process.

그 다음, 전체표면상부에 층간절연막(29)을 형성하고 이를 평탄화시킨다.Then, an interlayer insulating film 29 is formed over the entire surface and planarized.

그 다음, 상기 콘택마스크를 이용한 사진식각공정으로 상기 층간절연막(29)을 식각하여 상기 콘택패드(27)를 노출시키는 제2콘택홀(60)을 형성한다.Next, the second insulating hole 60 exposing the contact pad 27 is formed by etching the interlayer insulating layer 29 by a photolithography process using the contact mask.

그리고, 제2콘택홀(60)에 형성되는 폴리머는 드라이 플라즈마를 이용하여 제거한다. (도 1c)The polymer formed in the second contact hole 60 is removed using dry plasma. (FIG. 1C)

이상에서 설명한 바와같이 본 발명에 따른 반도체소자의 자기정렬적인 콘택 형성방법은, 유기 저유전층을 이용하여 용이하게 콘택패드를 형성하고 후속공정으로 콘택공정을 실시함으로써 반도체기판의 손상을 최소화시키며 용이하게 콘택공정을 실시할 수 있도록 하여 반도체소자의 생산성을 향상시킬 수 있는 효과가 있다.As described above, in the self-aligned contact forming method of a semiconductor device according to the present invention, a contact pad is easily formed using an organic low dielectric layer and a contact process is performed in a subsequent process to minimize damage to a semiconductor substrate and to facilitate the contact process. The contact process can be performed to increase the productivity of the semiconductor device.

Claims (6)

반도체기판 상에 제1마스크산화막과 절연막 스페이서가 구비되는 게이트전극을 형성하는 공정과,Forming a gate electrode having a first mask oxide film and an insulating film spacer on the semiconductor substrate; 전체표면상부에 유기 저유전층을 형성하는 공정과,Forming an organic low dielectric layer over the entire surface, 상기 유기 저유전층 상부에 제2마스크산화막을 형성하는 공정과,Forming a second mask oxide film on the organic low dielectric layer; 상기 반도체기판의 예정된 영역을 노출시키는 콘택마스크를 이용하여 상기 제2마스크산화막과 유기 저유전층을 플라즈마식각해 콘택홀을 형성하되, 상기 제2마스크산화막 하부로 언더컷을 형성하는 공정과,Forming a contact hole by plasma etching the second mask oxide layer and the organic low dielectric layer using a contact mask exposing a predetermined region of the semiconductor substrate, and forming an undercut under the second mask oxide layer; 상기 언더컷을 매립하는 콘택패드용 폴리실리콘을 전체표면상부에 형성하는 공정과,Forming a contact pad for embedding the undercut on the entire surface thereof; 상기 유기 저유전층을 노출시키는 평탄화식각공정으로 콘택패드를 형성하는 공정과,Forming a contact pad by a planarization etching process exposing the organic low dielectric layer; 상기 유기 저유전층을 제거하고 상기 콘택패드의 예정된 영역을 노출시키는 층간절연막을 형성하는 공정을 포함하는 반도체소자의 자기정렬적인 콘택 형성방법.Removing the organic low dielectric layer and forming an interlayer insulating film exposing a predetermined region of the contact pad. 제 1 항에 있어서,The method of claim 1, 상기 플라즈마 식각공정은 상기 제2마스크산화막의 식각공정시 산소가스와 질소가스로 형성된 플라즈마를 이용하여 실시하고 상기 유기 저유전층의 식각공정시 아르곤이나 헬륨과 같은 불활성가스를 사용하여 실시하는 것을 특징으로 하는 반도체소자의 자기정렬적인 콘택 형성방법.The plasma etching process may be performed using a plasma formed of oxygen gas and nitrogen gas during the etching process of the second mask oxide layer and using an inert gas such as argon or helium during the etching process of the organic low dielectric layer. Self-aligned contact forming method of a semiconductor device. 제 1 항 또는 제 2 항 중 어느 한 항에 있어서,The method according to claim 1 or 2, 상기 유기 저유전층의 식각공정은 등방성식각공정으로 실시하는 것을 특징으로하는 반도체소자의 자기정렬적인 콘택 형성방법.The etching process of the organic low dielectric layer is an isotropic etching process is a self-aligned contact forming method of a semiconductor device. 제 3 항에 있어서,The method of claim 3, wherein 상기 유기 저유전층의 식각공정시 식각조건을 조절하여 상기 언더컷의 깊이를 조절하여 후속 콘택공정의 콘택마진을 조절하는 것을 특징으로하는 반도체소자의 자기정렬적인 콘택 형성방법.The method of forming a self-aligned contact of a semiconductor device, characterized in that to adjust the depth of the undercut by adjusting the etching conditions during the etching process of the organic low-k dielectric layer to adjust the contact margin of the subsequent contact process. 제 1 항에 있어서,The method of claim 1, 상기 유기 저유전층의 식각공정시 식각조건을 조절하여 상기 언더컷의 깊이를 조절하여 후속 콘택공정의 콘택마진을 조절하는 것을 특징으로하는 반도체소자의 자기정렬적인 콘택 형성방법.The method of forming a self-aligned contact of a semiconductor device, characterized in that to adjust the depth of the undercut by adjusting the etching conditions during the etching process of the organic low-k dielectric layer to adjust the contact margin of the subsequent contact process. 제 1 항에 있어서,The method of claim 1, 상기 평탄화식각공정은 CMP 공정이나 에치백 공정으로 실시하는 것을 특징으로하는 반도체소자의 자기정렬적인 콘택 형성방법.And the planarization etching process is performed by a CMP process or an etch back process.
KR10-1999-0048291A 1999-11-03 1999-11-03 Forming method for self aligned contact of semiconductor device KR100403328B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-1999-0048291A KR100403328B1 (en) 1999-11-03 1999-11-03 Forming method for self aligned contact of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-1999-0048291A KR100403328B1 (en) 1999-11-03 1999-11-03 Forming method for self aligned contact of semiconductor device

Publications (2)

Publication Number Publication Date
KR20010045126A KR20010045126A (en) 2001-06-05
KR100403328B1 true KR100403328B1 (en) 2003-10-30

Family

ID=19618275

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0048291A KR100403328B1 (en) 1999-11-03 1999-11-03 Forming method for self aligned contact of semiconductor device

Country Status (1)

Country Link
KR (1) KR100403328B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100484894B1 (en) * 2002-09-09 2005-04-22 동부아남반도체 주식회사 Method for etching a control gate in a semiconductor flash memory manufacturing procedure
CN104617093A (en) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100366617B1 (en) * 2000-03-13 2003-01-09 삼성전자 주식회사 Method for manufacturing self aligned contact hole
KR100450671B1 (en) * 2002-02-26 2004-10-01 삼성전자주식회사 Method for fabricating semiconductor device having storage node contact plugs
KR100447325B1 (en) * 2002-12-13 2004-09-07 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR20050091954A (en) * 2004-03-13 2005-09-16 주식회사 하이닉스반도체 Method for fabricating contact of semiconductor devices
US9293576B2 (en) 2014-03-05 2016-03-22 International Business Machines Corporation Semiconductor device with low-k gate cap and self-aligned contact
US9368572B1 (en) 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US9443982B1 (en) 2016-02-08 2016-09-13 International Business Machines Corporation Vertical transistor with air gap spacers
CN113707663B (en) * 2021-08-26 2024-04-05 长江存储科技有限责任公司 Semiconductor structure, three-dimensional memory and preparation method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03174767A (en) * 1989-09-13 1991-07-29 Oki Electric Ind Co Ltd Manufacture of semiconductor memory device
JPH05283643A (en) * 1992-03-31 1993-10-29 Sanyo Electric Co Ltd Manufacture of semiconductor element
KR980005533A (en) * 1996-06-27 1998-03-30 김주용 Self-alignment contact formation method using tungsten
KR980005622A (en) * 1996-06-29 1998-03-30 김주용 Method of forming a contact hole in a semiconductor device
KR19990005482A (en) * 1997-06-30 1999-01-25 김영환 Method for forming charge storage electrode of semiconductor device
US6140705A (en) * 1995-01-03 2000-10-31 Texas Instruments Incorporated Self-aligned contact through a conducting layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03174767A (en) * 1989-09-13 1991-07-29 Oki Electric Ind Co Ltd Manufacture of semiconductor memory device
JPH05283643A (en) * 1992-03-31 1993-10-29 Sanyo Electric Co Ltd Manufacture of semiconductor element
US6140705A (en) * 1995-01-03 2000-10-31 Texas Instruments Incorporated Self-aligned contact through a conducting layer
KR980005533A (en) * 1996-06-27 1998-03-30 김주용 Self-alignment contact formation method using tungsten
KR980005622A (en) * 1996-06-29 1998-03-30 김주용 Method of forming a contact hole in a semiconductor device
KR19990005482A (en) * 1997-06-30 1999-01-25 김영환 Method for forming charge storage electrode of semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100484894B1 (en) * 2002-09-09 2005-04-22 동부아남반도체 주식회사 Method for etching a control gate in a semiconductor flash memory manufacturing procedure
CN104617093A (en) * 2013-11-05 2015-05-13 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Also Published As

Publication number Publication date
KR20010045126A (en) 2001-06-05

Similar Documents

Publication Publication Date Title
US6362073B2 (en) Method for forming semiconductor device having low parasite capacitance using air gap and self-aligned contact plug
KR100403328B1 (en) Forming method for self aligned contact of semiconductor device
KR100497609B1 (en) Method of etching silicon nitride film
KR100431818B1 (en) Forming method for self aligned contact of semiconductor device
KR100301148B1 (en) Forming method for hard mask of semiconductor device
KR20010004237A (en) A method for forming semiconductor memory device including self-aligned contact process
KR100345069B1 (en) Method of forming polysilicon plug for semiconductor device
KR100440076B1 (en) Forming method for self aligned contact of semiconductor device
KR100578117B1 (en) Method for forming interconnection of semiconductor device
KR20010063867A (en) A method for forming a self aligned contact of a semiconductor device
KR100314151B1 (en) A method for forming a transistor of semiconductor device
KR20000045437A (en) Method for forming self aligned contact of semiconductor device
KR100372770B1 (en) A method of manufacturing self align contact of semiconductor device
KR100314810B1 (en) A method for fabricating semiconductor device using to damascene gate
KR100361210B1 (en) Method of forming a contact hole in a semiconductor device
KR100367490B1 (en) Method for forming contact hole of semiconductor device
KR100213203B1 (en) Semiconductor device with contact hole and process for fabricating the same
KR100400321B1 (en) A method for forming of a semiconductor device
KR100258369B1 (en) Manufacturing method of contact of semiconductor device
KR20040008600A (en) Method for forming a contact hole in semiconductor memory device
KR19980014993A (en) Method of forming a contact hole in a semiconductor device
KR19990057377A (en) Self-aligned Contact Method of Semiconductor Devices
KR100444312B1 (en) Method for forming fine contact of semiconductor device using insulating spacer
KR100400298B1 (en) A method of manufacturing self align contact of semiconductor device
KR20030003306A (en) Method for fabricating a landing plug of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100920

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee