KR100374214B1 - 수직형 퓨즈 및 제조 방법 - Google Patents

수직형 퓨즈 및 제조 방법 Download PDF

Info

Publication number
KR100374214B1
KR100374214B1 KR10-2000-0008763A KR20000008763A KR100374214B1 KR 100374214 B1 KR100374214 B1 KR 100374214B1 KR 20000008763 A KR20000008763 A KR 20000008763A KR 100374214 B1 KR100374214 B1 KR 100374214B1
Authority
KR
South Korea
Prior art keywords
fuse
conductive material
conductive
hole
vertical
Prior art date
Application number
KR10-2000-0008763A
Other languages
English (en)
Other versions
KR20000058155A (ko
Inventor
스테판제이. 베버
로이 이걸든
찬드라세카 나라얀
악셀크리스토프 브린츠인게르
마크 호인키스
로베르트 반덴베르그
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
인피니언 테크놀로지스 노쓰 아메리카 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션, 인피니언 테크놀로지스 노쓰 아메리카 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20000058155A publication Critical patent/KR20000058155A/ko
Application granted granted Critical
Publication of KR100374214B1 publication Critical patent/KR100374214B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 발명에 따른 반도체 소자용 퓨즈는 표면상에 배치되는 도전성 경로를 가지는 기판, 상기 기판상에 배치되는 유전체층, 및 상기 유전체층을 통해 상기 표면에 수직으로 배치되고 도전성 경로에 접속하는 수직형 퓨즈를 포함하며, 상기 수직형 퓨즈는 수직 표면을 따라 배치되는 라이너 재료를 가지는 공통을 형성하고, 상기 수직 표면은 퓨즈를 절단하기 위해 용융된다. 또한 수직형 퓨즈를 제조하는 방법이 포함된다.

Description

수직형 퓨즈 및 제조 방법 {VERTICAL FUSE AND METHOD OF FABRICATION}
본 발명은 반도체 제조에 관한 것으로서, 특히 반도체 칩 레이아웃 영역을 감소시키는 수직형 퓨즈 및 방법에 관한 것이다.
메모리 소자와 같은 반도체 소자는 이들의 구조내에 퓨즈를 포함한다. 다이내믹 랜덤 액세스 메모리(DRAM) 칩에서, 퓨즈의 수는 메모리 밀도의 증가 때문에 DRAM 칩 디자인의 새로운 세대 동안 상당히 증가한다. 종래 DRAM 디자인에서, 레이저 절단 또는 전기적 절단되는 퓨즈는 칩 방향과 평행하게 배치된다. 이런 방향성은 소위 수평 배치된 퓨즈 또는 수평 방향 퓨즈로서 칭해질 것이다. 수평 배치된 퓨즈는 개략적으로 퓨즈 회로와 함게 전체 칩 영역의 3%를 소모한다.
메모리 소자에서의 한가지 퓨즈 사용 방법은 칩의 영역 또는 블록을 활성/비활성시키는 것이다. 이것은 안티-퓨즈(anti-fuse)와 퓨즈를 사용하여 수행될 수 있다. 예를 들면, 칩 수율을 개선하기 위하여 퓨즈를 절단함으로써 활성화되는 리던던시(redundancy)가 사용된다. 다음 세대의 DRAM를 위하여 퓨즈를 위한 영역은 다른 무엇보다도 증가된 리던던시 때문에 상당히 증가될 것이다. 예를 들면, 종래 DRAM 칩이 15,000 퓨즈를 포함한다면, 다음 세대 DRAM 칩은 30,000 내지 50,000 퓨즈를 포함할 것이다.본 발명은 반도체 소자의 금속 구조와 함께 추가의 프로세스나 마스킹 단계없이 형성될 수도 있는 수직으로 증착된 퓨즈를 제공한다. 다음은 이중 다마신 프로세스를 위한 콘택트/금속 라인의 형성에 대한 간단한 설명이다.
도 1를 참조하면, 반도체 소자(10)가 도시된다. 반도체 소자는 기판(12)을 포함한다. 유전체층(14)이 종래 기술로 공지된 프로세스에 따라 증착되고 패터닝된다. 유전체층(14)은 TEOS 또는 BPSG와 같은 산화물을 포함할 수 있다. 도전성 재료(16)가 유전체층(14)상에 증착된다. 도전성 재료(16)는 텅스텐 또는 알루미늄과 같은 금속을 포함한다. 도전성 재료(16)는 예를 들어 DRAM 칩의 M0 레벨에서 금속 라인 또는 다른 도전성 구조를 형성한다.
도 2를 참조하면, 유전체층(18)이 유전체층(14)과 도전층(16) 위에 증착된다. 유전체층(18)은 이산화물과 같은 산화물이다. 유전체층(18)은 도 3에 도시된 알루미늄과 같은 도전성 재료(24)의 이중 다마신 증착을 위한 콘택트 홀(20)과 금속 라인 트렌치(22)를 형성하기 위하여 패터닝되고 에칭된다. 화학적 기계적 연마(CMP)가 상부면을 평탄화하고 상부면으로부터 도전성 재료(24)를 제거하기 위해 수행된다.
도 4를 참조하면, 유전체층(26)이 유전체층(18) 및 유전체층(18)내에 형성된 콘택트/금속 라인(28) 위에 증착된다. 유전체층(26)은 바람직하게 실리콘 이산화물과 같은 산화물이다.
도 5와 도 6를 참조하면, 유전체층(26)이 도 6에 도시된 비아/금속 라인(38)을 형성하도록 알루미늄과 같은 도전성 재료(36)의 이중 다마신 증착을 위한 비아 홀(32)과 금속라인 트렌치(34)를 형성하기 위해 패터닝되고 에칭된다. CMP가 상부면을 평탄화하고 상부면으로부터 도전성 재료(36)를 제거하기 위해 수행된다.
도 1-6에 개시된 방법은 반도체 소자(10)에 걸쳐 수행된다. 콘택트/금속 라인(28) 및 비아/금속 라인(38)이 예를 들어 메모리 칩의 메모리 어레이부(30) 내에 형성된다.
따라서, 반도체 칩상에서 퓨즈가 차지하는 영역을 감소시키는 방법이 요구된다. 또한 반도체 소자에서의 퓨즈에 대한 퓨즈 저항을 조절하는 방법이 요구된다. 또한 부가적 프로세스 단계 및 마스크없이 퓨즈를 제조하는 방법이 요구된다.
본 발명의 목적은 부가적 프로세스와 마스크 단계없이 반도체 소자의 금속 구조물과 함께 형성될 수 있는 수직적 배치 퓨즈를 제공하는 것이다.
도 1은 유전체층과 금속 구조를 가지는 종래 기판의 단면도.
도 2는 유전체층과 거기에 형성된 콘택트를 가지는 도 1의 종래 기판의 단면도.
도 3은 종래 기술에 따른 이중 다마신 프로세스동안 콘택트 홀에 증착되는 도전성 재료를 가지는 도 2의 구조의 단면도.
도 4는 종래 기술에 따라 증착된 다른 유전체층을 가지는 도 3의 구조의 단면도.
도 5는 종래 기술에 따라 다른 유전체층을 통해 콘택트까지 형성되는 비아 홀을 가지는 도 4의 구조의 단면도.
도 6은 종래 기술에 따른 이중 다마신 프로세스동안 비아 홀에 증착되는 도전성 재료를 가지는 도 5의 구조의 단면도.
도 7은 본 발명에 따라 유전체층을 통해 도전성 구조까지 형성된 퓨즈 홀을 가지는 반도체 소자의 퓨즈 영역의 단면도.
도 8은 본 발명에 따라 퓨즈 홀에 증착된 도전층 또는 라이너를 가지는 도 7의 구조의 단면도.
도 9는 이중 다마신 프로세스동안 퓨즈 홀에 증착된 도전성 재료를 가지며 본 발명에 따라 수직 벽을 라이닝하여 수직형 퓨즈를 형성하는 라이너를 가지는 공동을 형성하는 도 8의 구조의 단면도.
도 10은 본 발명에 따른 메모리 칩용 퓨즈 영역과 어레이를 도시하는 반도체 소자의 단면도.
도 11은 본 발명에 따른 라이너와 공동을 도시하는 도 10에 도시된 상세부(11)의 확대된 단면도.
도 12는 본 발명에 따른 기하학적 치수를 도시하는 라이너/도전층의 단면도.
도 13은 본 발명에 따른 서로 다른 외부 저항에 대한 퓨즈의 저항 대 퓨즈의 파워 소모를 도시하는 도면.
도 14는 본 발명에 따른 라이너, 습윤 Al 층 및 물리적으로 증착된 Al 층을 갖는 퓨즈 홀을 가지는 본 발명의 일실시예의 단면도.
도 15는 본 발명에 따른 도 14의 물리적으로 증착된 Al층의 깊이 대 서로 다른 임계 치수(CD)에 대한 증착 시간을 도시하는 그래프.
도 16은 본 발명에 따른 절단 전압을 감소시키기 위해 퓨즈에 굴곡부를 가지는 본 발명의 다른 실시예에 대한 단면도.
※ 도면의 주요부분에 대한 부호의 설명 ※
12 : 반도체 기판 14 : 유전체층
16 : 도전성 재료 18 : 유전체층
26 : 유전체층 32 : 콘택트 홀
102 : 퓨즈 홀
본 발명에 따른 반도체 소자용 퓨즈는 표면에 배치된 도전성 경로를 가지는 기판, 기판상에 배치된 유전체층 및 유전체층을 통해 표면에 수직적으로 배치되고 도전성 경로에 연결되는 수직형 퓨즈를 포함하며, 상기 수직형 퓨즈는 공동의 수직 표면을 따라 배치된 라이너 재료를 가지는 공동(cavity)을 형성하고, 공동의 수직적 표면을 따라가는 라이너 재료는 퓨즈를 절단하기 위해 용융된다.
다른 실시예에서, 라이너 재료는 바람직하게 티타늄 질화물을 포함하고 퓨즈는 바람직하게 알루미늄을 포함한다. 유전체층은 다중 유전체층을 포함한다. 도전성 경로는 도전성 라인과 퓨즈 사이에 굴곡부를 형성하도록 퓨즈에 수직적으로 배치되는 도전성 라인을 포함한다. 퓨즈를 지나가는 전류흐름은 굴곡부에서 공동쪽으로 향할 수 있다. 라이너 재료는 바람직하게 퓨즈의 다른 부분보다 더 큰 고유 저항(resistivity)을 가진다.
수직형 퓨즈 제조 방법은 반도체 소자의 유전체층에 수직적으로 퓨즈 홀을 형성하는 단계, 도전층으로 퓨즈 홀의 측면을 라이닝하는 단계 및 퓨즈 홀에 도전성 재료를 증착하는 단계를 포함하며, 도전층은 도전성 재료보다 더 큰 고유 저항을 가지고, 공동을 형성하는 도전성 재료는 공동의 수직 표면에 배치되는 도전층을 가진다.
메모리 칩을 위한 콘택트와 비아를 동시에 갖는 수직형 퓨즈 제조 방법은 칩의 메모리 어레이부내에 형성된 소자를 가지는 기판을 포함하는 메모리 칩을 제공하는 단계를 포함하는데, 상기 칩은 퓨즈 영역을 더 포함하며; 기판상에 제1 유전체층을 증착하는 단계; 제1 유전체층을 통해 콘택트를 형성하는 단계; 제2 유전체층을 증착하는 단계; 동시에 퓨즈 홀과 비아 홀을 형성하는 단계를 포함하는데, 퓨즈 홀은 제1 및 제2 유전체층을 통해 수직적으로 형성되고, 비아 홀은 콘택트까지 형성되며; 퓨즈 홀과 비아 홀의 측면을 도전층으로 라이닝하고 퓨즈 홀과 비아 홀내에 도전성 재료를 증착하는 단계를 포함하며, 도전층은 도전성 재료보다 더 큰 고유 저항을 가지고, 퓨즈 홀에 증착된 도전성 재료는 공동의 수직 표면상에 배치된 도전층을 가지는 퓨즈 홀에 공동을 형성하고, 퓨즈 홀은 비아 홀이 충진되면서 동일한 프로세스가 퓨즈 홀내에 공동을 형성하도록 비아 홀보다 더 큰 개구부를 형성한다.
다른 방법에서, 증착 단계는 이중 다마신 프로세스를 사용하여 도전성 재료를 증착하는 단계를 포함한다. 증착 단계는 공동을 형성하기 위해 도전성 재료의 습윤층을 증착하고 퓨즈 홀에 도전성 재료를 증착하는 단계를 포함할 수 있다. 습윤층은 바람직하게 화학적 기상 증착 프로세스를 사용하여 증착된다. 도전성 재료는 바람직하게 물리적 기상 증착 프로세스를 사용하여 증착된다. 도전층 두께와 공동 치수중 하나를 조절하는 단계가 퓨즈에 대한 소정 차단 전압을 제공하기 위해 포함될 수 있다. 도전성 재료는 바람직하게 알루미늄을 포함하고 도전층은 티타늄 질화물을 포함한다. 상기 방법은 퓨즈가 연결되는 회부 회로의 저항에 퓨즈 저항을 매칭하는 단계를 더 포함할 수 있다.
이런 본 발명의 목적, 특징 및 장점들은 첨부된 도면을 참조로한 다음의 바람직한 실시예의 상세한 설명으로부터 드러날 것이다.
본 발명은 반도체 제조, 특히 반도체 칩 레이아웃 영역을 감소시키는 수직형 퓨즈 및 방법에 관한 것이다. 본 발명은 칩의 평면에 수직한 라인 방향을 갖는 퓨즈를 제조하는 방법을 포함한다. 본 발명에 따른 퓨즈는 수직적으로 배치되거나 또는 수직 방향 퓨즈이다. 본 발명에 따른 수직형 퓨즈는 퓨즈가 차지하는 영역을 감소시킨다. 예를 들어, 종래 디자인의 수평형 퓨즈가 4미크론 길이와 .5미크론 폭과 .5미크론 두께라면, 수평 방향에서 수직 방향으로의 변화는 약 8 폴드(fold) 영역 감소를 가져온다. 또한 본 발명은 퓨즈 절단을 더욱 용이하게 할 퓨즈의 파워 소모를 최대화하기 위해 퓨즈 저항을 조절하는 조절방법을 포함한다. 바람직한 실시예에서, 수직형 퓨즈는 부가적 마스크 또는 처리 단계없이 수행될 수 있는 공동을 포함한다. 공동 사용의 한가지 장점은 공동이 절단 퓨즈의 가열 가능성을 감소시킨다는 것이다.
도 1-6에 도시된 구조를 형성하는데 사용되는 프로세스는 본 발명에 따른 수직형 퓨즈를 형성하는데 사용된다. 유리하게, 본 발명은 칩 레이아웃 영역을 감소시키는 수직형 퓨즈를 제공하고 퓨즈가 부가적 프로세스 단계와 마스크없이 제조된다. 다시 말해서, 상기 수직형 퓨즈는 소자내의 다른 구조와 함께 반도체 소자의 퓨즈 영역에 동시에 형성된다. 수직형 퓨즈 제조 프로세스가 수직형 퓨즈와 동시에 형성되는 메모리 어레이 소자를 가지는 메모리 소자에 대해, 보기에 의해 기술될 것이다.
이제 동일한 참조 부호가 여러 도면에 걸쳐 유사하거나 동일한 엘리먼트를 나타내는 도면에 대한 상세를 참조하면, 우선 도 7에 따르면, 반도체 기판(12)이 도시되는데, 종래 기술에 공지된 실리콘, 실리콘-상-절연체, 갈륨 비소화물 또는 다른 기판을 포함할 수 있다. 도전성 재료(16)가 유전체층(14) 위에 증착된다. 물론 다른 도전성 구조가 다른 타입의 반도체 소자를 위해 형성될 수 있다. 유전체층(14)은 종래 기술로 공지된 프로세스에 따라 증착되고 패터닝된다. 유전체층(14)은 TEOS, 열산화물, 실란 또는 고밀도 폴리실리콘과 같은 산화물을 포함할 수 있다. 유전체층(18)이 유전체층(14) 위에 증착된다. 유전체층(18)은 실리콘 이산화물과 같은 산화물이 될 것이다.
유전체층(18)은 이미 도 2와 도 3를 참조하여 기술된 바와 같이 이중 다마신 구조를 형성하기 위해 어레이부에서 패터닝되고 에칭된다. 화학적 기계적 연마(CMP)가 유전체층(18)의 상부면을 평탄화하기 위해 수행된다. 유전체층(26)이 유전체층(18) 위에 증착된다. 유전체층(26)은 바람직하게 실리콘 이산화물과 같은 산화물이다. 유전체층(26)은 이중 다마신 퓨즈 홀(102)과 동시에 콘택트 홀(32)을 형성하기 위해 패터닝 및 에칭되고, 도 5에 도시된 바와 같이 이중 다마신 증착을 위해 금속 라인 트렌치(34)가 형성된다. 퓨즈 홀(102)의 패터닝은 바람직하게 리소그래피 프로세싱을 사용하여 실행된다. 퓨즈 홀(102)의 에칭은 반응성 이온 에칭(RIE) 프로세스 또는 화학적 다운스트림 에칭(CDE) 프로세스를 사용하여 수행될 수 있으며, 또한 다른 에칭 기술이 수행될 수 있다.
퓨즈 홀(102)은 유전체층(18)과 유전체층(26)을 통해 도전성 재료(16)까지 연장한다. 상기 유전체층(18)과 유전체층(26)을 에칭하기 위해 기술된 에칭 프로세스는 바람직하게 도전성 재료(16)에 대해 선택적이다. 도전성 재료(16)는 바람직하게 텅스텐, 알루미늄 또는 다른 도전성 재료이다.
도 8를 참조하면, 박막 도전층(104)이 퓨즈 홀(102)에 형성된다. 층(104)은 바람직하게 퓨즈를 위해 사용되고 다음 단계에서 부가되는 베이스 재료 또는 비아보다 더 높은 고유 저항을 가지는 재료이다. 층(104)은 증착 프로세스, 예를 들어 화학적 기상 증착(CVD) 프로세스에 의해 형성된다. 층(104)은 퓨즈 홀(102)(도 9 참조)을 라이닝한다.
도 9를 참조하면, 이중 다마신 증착 프로세스가 층(104)을 가지는 퓨즈 홀(102)을 충진하는데 사용된다. 도전성 재료(106)가 바람직하게 물리적 기상 증착 프로세스를 사용하여 증착된다. 다른 컨포멀한 코팅 프로세스가 사용될 수 있다. 도전성 재료(106)는 바람직하게 알루미늄(Al)이지만, 다른 도전성 재료가 사용될 수 있다. 바람직한 실시예에서, 층(104)은 Al보다 더 높은 고유 저항을 가지는 질화 티타늄(TiN)과 같은 금속 질화물을 포함한다. 구리와 같은 다른 도전성 재료와 이들의 합금이 층(104)을 위해 사용될 수 있다. 상기 증착 프로세스는 퓨즈 절단동안 층(104)의 체적 확장을 허용하는 공동(108)의 형성을 포함한다. 수직형 퓨즈(110)가 제공되는데, 종래 수평 배치된 퓨즈와 비교할 때 반도체 소자의 레이아웃 영역을 상당히 감소시킨다.
도 10을 참조하면, 반도체 소자의 단면도는 본 발명에 따라 동일한 반도체 소자상의 퓨즈 영역(160)과 메모리 어레이 영역(162)을 도시한다. 상세부(11)가 도 11에 더욱 상세히 도시되어 있다.
도 11을 참조하면, 공동 영역의 확대로가 도시되어 있다. 층(104)은 공동(108)을 라이닝하고, 도전성 재료(106)는 공동(108)이 형성되도록 증착된다. 퓨즈(110)의 동작동안, 그것을 통해 전류가 흐른다. 소정량의 전류가 퓨즈(110)를 통해 흐를 때, 퓨즈(110)는 절단될 것이다. 도전성 재료(106)와 비교할 때 층(104)의 더 높은 고유 저항 및 그것의 감소된 단면적 때문에, 층(104)은 I2R 가열동안 끊어질 것이다. 여기에서, I는 전류이고 R은 용융에 의한 퓨즈(110)의 저항이다. 공동(108)은 층(104)이 전류 흐름 동안에 형성된 고온 때문에 용융되도록 한다. 층(104)은 퓨즈(110)를 통과하는 도전 경로를 차단하도록 공동(108)내로 확장한다.
퓨즈(110)의 한가지 중요한 특징은 다른 저항(R)의 퓨즈를 제조함으로써 퓨즈(110)가 다른 전류에서 절단되고 파워 소모를 최대화하도록 디자인된다는 것이다. 이것은 많은 방법으로 수행될 수 있다. 파워 소모를 최대화하는 한가지 방법은 퓨즈(110)의 저항을 외부 회로(Rext)의 저항과 매칭시키는 것이다. 외부 회로는 퓨즈(110)에 전류를 공급하는 트랜지스터(도시안됨)를 포함할 수 있다. 도 12를 참조하면, 퓨즈(110)의 저항은 비아 폭/반경(r1)(도전성 재료(106)의 외부 직경/주변부 또는 층(104)의 내부 직경/주변에 대한 반경 또는 폭), 공동(108)(도 11 참조)의 길이 "L", 및/또는 층(104)의 두께(Δr)를 변경시킴으로써 조절될 수 있다. 이런 관계는 다음의 식에 따라 설명된다.
여기에서 A는 수평면을 따라 취해진 퓨즈(110)의 단면적이고 ρ는 층(104)의 고유 저항이다.
도 13를 참조하면, 본 발명에 따른 수직형 퓨즈에서의 파워 소모 대 저항의 그래프가 도시되어 있다. 상기 그래프는 범례로 도시된 외부 저항(Rext)과 동일한 퓨즈 저항에 대응하는 최대 파워 소모의 포인트(A, B 및 C)를 보여준다. U는 퓨즈 양단의 전압이다.
발명자에 의해 수행된 전기적 테스트는 공동(108)을 가지고 그리고 가지지 않는 Al 스터드(도전성 재료(106))의 저항사이의 두드러진 차이를 보이지 않았다. 저항의 차이는 약 2팩터 만큼 변화된다. 공동(108)의 스터드의 감소된 단면적 때문에, 전류 밀도가 증가할 것이고, 차례로 저항과 온도를 증가시킬 것이다.
도 14를 참조하면, 본 발명의 일실시예에 따라, 도전성 재료(106)는 바람직하게 퓨즈 홀(102)을 완전히 충진하지 않는다. 일실시예에서, "냉각 Al 충진(Coll-Al-Fill)" 기술이 퓨즈 홀(102)을 충진하는데 사용되고 거기에 잔류하는 공동(108)을 남긴다. "냉각 Al 충진"은 물리적 기상 증착(PVD)에 의해 수반되는 CVD Al 습윤층(114) 또는 다른 컨포멀한 코팅 처리된 Al 증착층(116)을 사용한다. 층(104)은 Al 증착 이전에 형성되고 퓨즈 홀(102)내에 Al를 포함하도록 확산 배리어로서 기능한다. 층(104)은 본 발명에 따른 수직형 퓨즈를 위해 형성되는 공동(108)을 둘러싸기 위한 라이너 재료로서 사용된다. 층(104)은 주입된(IMP) Ti(약 250Å 두께) 및/또는 CVD TiN(약 50Å)의 스택을 포함할 수 있다. 바람직하게 TiN이 사용되어진다.
상기 "차가운 Al 충진"은 다음 특성을 포함한다. 층(104)은 바람직하게 퓨즈 홀(102)의 하부까지 연속적인 막이다. 습윤층(114)은 바람직하게 예를 들어 층(116)이 습윤화될 필요가 있는 표면인, 부가적인 도체를 의미하지않는, 불연속적 막이다. 층(116)은 비아/콘택트 직경(퓨즈 홀(102))이 감소함에 따라 증가하는 충진 깊이를 갖는다. 이런 특징들은 다른 무엇보다도 수직형 퓨즈(110)의 임계 치수(CD)를 변경함으로써 공동의 크기(저항)의 제어를 허용한다. 도 15에 도시된 바와 같이, 예시적 그래프는 퓨즈 홀의 다른 임계 치수(범례로서 지시된 바와 같이)에 대한 PVD Al 충진의 깊이 대 증착 시간의 관계를 보여준다.
일실시예에서, 수직형 퓨즈(110)는 어레이 콘택트(도 1-6)와 동시에 형성된다. 수직형 퓨즈(110)가 공동(108)을 가지고 형성되도록 하기 위해, 상기 임계 치수(비아/퓨즈 홀(102)의 직경 또는 폭)는 바람직하게 콘택트/비아 홀(38)보다 더 크다. 이런 식으로, 공동(108)의 형성이 보장되며, 이는 도전성 재료 증착 프로세스와 무관하다. 더욱이, 불연속 Al 막과 연속 TiN 층은 콘택트/비아 홀(28과 38)(도 1 내지 도 6 참조)보다 휠씬 높은 저항을 가지는 수직형 퓨즈를 형성한다.
도 16을 참조하면, 수직형 퓨즈(150)의 절단 전압은 퓨즈(150)에 굴곡부(152)를 부가함으로써 감소될 수 있다. 발명자에 의해 수행된 모델링과 실험은 이런 구성이 약 2팩터만큼 절단 전압을 강하시킬 수 있음을 보여준다. 이것은 퓨즈의 기하학적 형태에 의존하여 변경될 수 있다. 일실시예에서, 전자 흐름의 바람직한 방향은 공동이 퓨즈(150)의 직선부에 배치되기 때문에 굴곡부(152)로부터 화살표("D")의 방향으로 공동(154)을 향해 흐른다. 그결과 절단 전압의 더 큰 차이가 실현된다.
수직형 퓨즈와 제조 방법(제한이 아닌 예시적인 것으로 의도되는)에 대한 바람직한 실시예가 기술되었으므로, 변형 및 변화가 상기 기술의 견지에서 당업자들에 의해 이루어질 수 있다는 것에 유의하여야 한다. 본 발명이 바람직한 실시예를 참조로 여기에 기술되었지만, 당업자들은 본 발명의 정신 및 범위에서 벗어나지 않고 다른 적용예로 대체될 수 있다는 것을 쉽게 이해할 수 있을 것이다.
반도체 칩상의 퓨즈가 차지하는 영역을 감소시키며, 반도체 소자에서의 퓨즈에 대한 퓨즈 고유 저항을 조절할 수 있으며, 또한 부가적 프로세스 단계 및 마스크없이 퓨즈를 제조할 수 있다.

Claims (23)

  1. (정정) 반도체 소자용 퓨즈에 있어서,
    표면상에 배치된 도전성 경로를 가지는 기판;
    상기 기판상에 배치된 유전체층; 및
    상기 유전체층을 통해 상기 표면에 수직하게 배치되고 상기 도전성 경로에 접속하는 수직형 퓨즈를 포함하며, 상기 수직형 퓨즈는 수직 표면을 따라 배치되는 라이너 재료를 가지는 공동을 형성하며, 상기 공동의 수직 표면을 따라가는 라이너 재료는 상기 퓨즈를 절단하기 위해 용융되는 것을 특징으로 하는 반도체 소자용 퓨즈.
  2. (정정) 제 1항에 있어서, 상기 라이너 재료는 질화 티타늄을 포함하는 것을 특징으로 하는 반도체 소자용 퓨즈.
  3. 제 1항에 있어서, 상기 퓨즈는 알루미늄을 포함하는 것을 특징으로 하는 반도체 소자용 퓨즈.
  4. 제 1항에 있어서, 상기 유전체층은 다중 유전체층을 포함하는 것을 특징으로 하는 반도체 소자용 퓨즈.
  5. (정정) 제 1항에 있어서, 상기 도전성 경로는 상기 퓨즈에 수직적으로 배치되는 도전성 라인을 포함하며, 이로 인해 상기 도전성 라인과 퓨즈 사이에 굴곡부가 형성되는 것을 특징으로 하는 반도체 소자용 퓨즈.
  6. (정정) 제 5항에 있어서, 상기 퓨즈를 통한 전류 흐름은 상기 굴곡부로부터 상기 공동으로 향하게 되는 것을 특징으로 하는 반도체 소자용 퓨즈.
  7. (정정) 제 1항에 있어서, 상기 라이너 재료는 상기 퓨즈의 다른 부분보다 더 큰 고유 저항을 가지는 것을 특징으로 하는 반도체 소자용 퓨즈.
  8. (정정) 수직형 퓨즈를 제조하는 방법에 있어서,
    반도체 소자의 유전체층을 통해 수직하게 퓨즈 홀을 형성하는 단계;
    상기 퓨즈 홀의 측면을 도전층으로 라이닝하는 단계; 및
    상기 퓨즈 홀내에 도전성 재료를 증착하는 단계를 포함하며, 상기 도전층은 상기 도전성 재료보다 더 큰 고유 저항을 가지며, 상기 도전성 재료는 수직 표면상에 배치되는 상기 도전층을 가지는 공동을 형성하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  9. (정정) 제 8항에 있어서, 상기 증착 단계는 이중 다마신 프로세스를 사용하여 도전성 재료를 증착하는 단계를 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  10. (정정) 제 8항에 있어서, 상기 증착 단계는,
    도전성 재료의 습윤층을 증착하는 단계; 및
    상기 공동을 형성하기 위해 상기 퓨즈 홀 내에 도전성 재료를 증착하는 단계를 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  11. (정정) 제 10항에 있어서, 상기 습윤층은 화학적 기상 증착 프로세스를 사용하여 증착되는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  12. (정정) 제 8항에 있어서, 상기 도전성 재료는 물리적 기상 증착 프로세스를 사용하여 증착되는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  13. (정정) 제 8항에 있어서, 상기 퓨즈를 위한 소정의 절단 전압을 제공하기 위해 도전층 두께와 공동 치수중 하나를 조절하는 단계를 더 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  14. (정정) 제 8항에 있어서, 상기 도전성 재료는 알루미늄을 포함하고 상기 도전층은 질화 티타늄을 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  15. (정정) 제 8항에 있어서, 상기 퓨즈가 접속되는 외부 회로의 저항과 퓨즈 저항을 매칭시키는 단계를 더 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  16. (정정) 메모리 칩용 콘택트 및 비아 구조와 동시에 수직형 퓨즈를 제조하는 방법에 있어서,
    상기 칩의 메모리 어레이부에 소자가 형성되는 기판을 포함하는 메모리 칩을 제공하는 단계를 포함하는데, 상기 칩은 퓨즈 영역을 더 포함하며;
    상기 기판상에 제1 유전체층을 증착하는 단계;
    상기 제1 유전체층을 통해 콘택트를 형성하는 단계;
    제2 유전체층을 증착하는 단계;
    퓨즈 홀과 비아 홀을 동시에 형성하는 단계를 포함하는데, 상기 퓨즈 홀은 상기 제1 및 제2 유전체층을 통해 수직적으로 형성되고, 상기 비아 홀은 상기 콘택트까지 형성되며;
    상기 퓨즈 홀과 비아 홀의 측면을 도전층으로 라이닝하는 단계; 및
    상기 퓨즈 홀과 비아 홀내에 도전성 재료를 증착하는 단계를 포함하며, 상기 도전층은 상기 도전성 재료보다 더 큰 고유 저항을 가지고, 상기 퓨즈 홀에 증착되는 상기 도전성 재료는 수직 표면에 배치되는 도전층을 가지는 상기 퓨즈 홀내의 공동을 형성하며, 상기 퓨즈 홀은 상기 비아 홀이 충진되는 동안 동일한 프로세스가 상기 퓨즈 홀내에 공동을 형성하도록 상기 비아 홀보다 더 큰 개구부를 형성하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  17. (정정) 제 16항에 있어서, 상기 증착 단계는 이중 다마신 프로세스를 사용하여 상기 도전성 재료를 증착하는 단계를 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  18. 제 16항에 있어서, 상기 증착 단계는 도전성 재료의 습윤층을 증착하는 단계를 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  19. 제 18항에 있어서, 상기 습윤층은 화학적 기상 증착 프로세스를 사용하여 증착되는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  20. 제 19항에 있어서, 상기 도전성 재료는 물리적 기상 증착 프로세스를 사용하여 증착되는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  21. (정정) 제 16항에 있어서, 상기 퓨즈를 위한 소정의 절단 전압을 제공하기 위해 도전층 두께와 공동 치수중 하나를 조절하는 단계를 더 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  22. (정정) 제 16항에 있어서, 상기 도전성 재료는 알루미늄을 포함하고 상기 도전층은 질화 티타늄을 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
  23. (정정) 제 16항에 있어서, 상기 퓨즈가 접속되는 외부 회로의 저항과 퓨즈 저항을 매칭시키는 단계를 더 포함하는 것을 특징으로 하는 수직형 퓨즈 제조 방법.
KR10-2000-0008763A 1999-02-23 2000-02-23 수직형 퓨즈 및 제조 방법 KR100374214B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09255767 1999-02-23
US09/255,767 US6242789B1 (en) 1999-02-23 1999-02-23 Vertical fuse and method of fabrication

Publications (2)

Publication Number Publication Date
KR20000058155A KR20000058155A (ko) 2000-09-25
KR100374214B1 true KR100374214B1 (ko) 2003-03-03

Family

ID=22969768

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0008763A KR100374214B1 (ko) 1999-02-23 2000-02-23 수직형 퓨즈 및 제조 방법

Country Status (7)

Country Link
US (2) US6242789B1 (ko)
EP (1) EP1032039B1 (ko)
JP (1) JP2000243213A (ko)
KR (1) KR100374214B1 (ko)
CN (1) CN1188912C (ko)
DE (1) DE60034611T2 (ko)
TW (1) TW448561B (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19926107C1 (de) * 1999-06-08 2000-11-16 Siemens Ag Halbleiteranordnung mit einer Fuse und ihr Herstellungsverfahren
KR100340906B1 (ko) * 1999-08-23 2002-06-20 박종섭 반도체 장치의 퓨즈 구조
KR100359158B1 (ko) * 1999-12-30 2002-10-31 주식회사 하이닉스반도체 반도체소자의 퓨즈 형성방법
DE10030444A1 (de) 2000-06-22 2002-01-10 Infineon Technologies Ag Verfahren zur Herstellung einer dielektrischen Antifuse-Struktur
US6584029B2 (en) * 2001-08-09 2003-06-24 Hewlett-Packard Development Company, L.P. One-time programmable memory using fuse/anti-fuse and vertically oriented fuse unit memory cells
US6580144B2 (en) * 2001-09-28 2003-06-17 Hewlett-Packard Development Company, L.P. One time programmable fuse/anti-fuse combination based memory cell
US6611039B2 (en) * 2001-09-28 2003-08-26 Hewlett-Packard Development Company, L.P. Vertically oriented nano-fuse and nano-resistor circuit elements
US6927472B2 (en) * 2001-11-14 2005-08-09 International Business Machines Corporation Fuse structure and method to form the same
US6444503B1 (en) 2002-02-07 2002-09-03 Taiwan Semiconductor Manufacturing Company Fabricating electrical metal fuses without additional masking
US7535078B2 (en) * 2002-02-14 2009-05-19 Freescale Semiconductor, Inc. Semiconductor device having a fuse and method of forming thereof
US7067897B2 (en) * 2002-02-19 2006-06-27 Kabushiki Kaisha Toshiba Semiconductor device
KR100449029B1 (ko) * 2002-04-04 2004-09-16 삼성전자주식회사 패드영역에 퓨즈박스를 구비한 반도체 장치 및 그의제조방법
US6828652B2 (en) 2002-05-07 2004-12-07 Infineon Technologies Ag Fuse structure for semiconductor device
JP2005109116A (ja) * 2003-09-30 2005-04-21 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
KR100621773B1 (ko) * 2005-02-07 2006-09-14 삼성전자주식회사 전기적 퓨즈 회로 및 레이아웃 방법
KR100735529B1 (ko) * 2006-02-09 2007-07-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
JP2007258371A (ja) * 2006-03-22 2007-10-04 Elpida Memory Inc ヒューズ素子を有する半導体装置及びヒューズ素子の切断方法
US20070252238A1 (en) * 2006-04-27 2007-11-01 Charles Lin Tungstein plug as fuse for IC device
JP4861051B2 (ja) * 2006-05-09 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置および電気ヒューズの切断方法
JP4861060B2 (ja) * 2006-06-01 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置および電気ヒューズの切断方法
US7470929B2 (en) * 2006-07-24 2008-12-30 International Business Machines Corporation Fuse/anti-fuse structure and methods of making and programming same
DE102006043484B4 (de) * 2006-09-15 2019-11-28 Infineon Technologies Ag Fuse-Struktur und Verfahren zum Herstellen derselben
US7785934B2 (en) 2007-02-28 2010-08-31 International Business Machines Corporation Electronic fuses in semiconductor integrated circuits
JP5283975B2 (ja) * 2007-06-07 2013-09-04 ルネサスエレクトロニクス株式会社 半導体記憶装置ならびにそのデータ書込方法およびデータ読出方法
US7671444B2 (en) * 2007-06-25 2010-03-02 International Business Machines Corporation Empty vias for electromigration during electronic-fuse re-programming
US8232190B2 (en) * 2007-10-01 2012-07-31 International Business Machines Corporation Three dimensional vertical E-fuse structures and methods of manufacturing the same
US10600902B2 (en) * 2008-02-13 2020-03-24 Vishay SIliconix, LLC Self-repairing field effect transisitor
US8609534B2 (en) 2010-09-27 2013-12-17 International Business Machines Corporation Electrical fuse structure and method of fabricating same
US8299567B2 (en) * 2010-11-23 2012-10-30 International Business Machines Corporation Structure of metal e-fuse
US8633707B2 (en) 2011-03-29 2014-01-21 International Business Machines Corporation Stacked via structure for metal fuse applications
US8922328B2 (en) * 2011-08-16 2014-12-30 United Microelectronics Corp. Electrical fuse structure
US8962467B2 (en) 2012-02-17 2015-02-24 International Business Machines Corporation Metal fuse structure for improved programming capability
US8916461B2 (en) 2012-09-20 2014-12-23 International Business Machines Corporation Electronic fuse vias in interconnect structures
US8921167B2 (en) 2013-01-02 2014-12-30 International Business Machines Corporation Modified via bottom for BEOL via efuse
US9059170B2 (en) * 2013-02-06 2015-06-16 International Business Machines Corporation Electronic fuse having a damaged region
US8896090B2 (en) 2013-02-22 2014-11-25 International Business Machines Corporation Electrical fuses and methods of making electrical fuses
CN104103460B (zh) * 2013-04-03 2016-04-27 功得电子工业股份有限公司 垂直绕线中空保险丝的制法
US9646929B2 (en) 2013-06-13 2017-05-09 GlobalFoundries, Inc. Making an efuse
US9666528B1 (en) 2016-02-23 2017-05-30 International Business Machines Corporation BEOL vertical fuse formed over air gap
US9728542B1 (en) * 2016-05-25 2017-08-08 International Business Machines Corporation High density programmable e-fuse co-integrated with vertical FETs
US10483201B1 (en) 2018-10-26 2019-11-19 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
CN111223839A (zh) * 2020-01-17 2020-06-02 上海华力微电子有限公司 一种efuse熔丝的版图结构
US11239160B2 (en) 2020-06-16 2022-02-01 International Business Machines Corporation E-fuse with dielectric zipping

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5833865A (ja) * 1981-08-24 1983-02-28 Toshiba Corp 半導体記憶装置及びその製造方法
JP2593471B2 (ja) * 1987-03-11 1997-03-26 株式会社東芝 半導体装置
US5451811A (en) * 1991-10-08 1995-09-19 Aptix Corporation Electrically programmable interconnect element for integrated circuits
US5264725A (en) * 1992-12-07 1993-11-23 Micron Semiconductor, Inc. Low-current polysilicon fuse
EP0853341A2 (en) * 1997-01-14 1998-07-15 Nec Corporation Semiconductor device and method of manufacturing the same
US6100118A (en) * 1998-06-11 2000-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of metal fuse design for redundancy technology having a guard ring

Also Published As

Publication number Publication date
EP1032039B1 (en) 2007-05-02
DE60034611D1 (de) 2007-06-14
JP2000243213A (ja) 2000-09-08
KR20000058155A (ko) 2000-09-25
US6218279B1 (en) 2001-04-17
DE60034611T2 (de) 2008-01-31
US6242789B1 (en) 2001-06-05
CN1275807A (zh) 2000-12-06
TW448561B (en) 2001-08-01
EP1032039A3 (en) 2000-12-20
EP1032039A2 (en) 2000-08-30
CN1188912C (zh) 2005-02-09

Similar Documents

Publication Publication Date Title
KR100374214B1 (ko) 수직형 퓨즈 및 제조 방법
US9059171B2 (en) Electrical fuse and method of making
US6611039B2 (en) Vertically oriented nano-fuse and nano-resistor circuit elements
US8610244B2 (en) Layered structure with fuse
US7642176B2 (en) Electrical fuse structure and method
US20050285224A1 (en) Semiconductor device and manufacturing method thereof
US6252292B1 (en) Vertical electrical cavity-fuse
US20030062595A1 (en) One time programmable fuse/anti-fuse combination based memory cell
US9059006B2 (en) Replacement-gate-compatible programmable electrical antifuse
US5904507A (en) Programmable anti-fuses using laser writing
US7911025B2 (en) Fuse/anti-fuse structure and methods of making and programming same
US10811353B2 (en) Sub-ground rule e-Fuse structure
JP3774405B2 (ja) 半導体構造のためのヒューズ
US9196527B2 (en) Fuse structure for high integrated semiconductor device
KR100954417B1 (ko) 반도체 소자의 퓨즈 형성 방법
JP2001298093A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080128

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee