KR100215376B1 - 표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법 - Google Patents

표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법 Download PDF

Info

Publication number
KR100215376B1
KR100215376B1 KR1019930010702A KR930010702A KR100215376B1 KR 100215376 B1 KR100215376 B1 KR 100215376B1 KR 1019930010702 A KR1019930010702 A KR 1019930010702A KR 930010702 A KR930010702 A KR 930010702A KR 100215376 B1 KR100215376 B1 KR 100215376B1
Authority
KR
South Korea
Prior art keywords
silicon oxide
nitrogen
deposition
teos
ozone
Prior art date
Application number
KR1019930010702A
Other languages
English (en)
Other versions
KR940006214A (ko
Inventor
방엉귀엔
엘리이에
마리아갈리아노
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR940006214A publication Critical patent/KR940006214A/ko
Application granted granted Critical
Publication of KR100215376B1 publication Critical patent/KR100215376B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

단계화된 형태상에 공극없는 산화 규소층을 증착시키기 위한 본 발명의 방법은, 테트라에톡시실란 및 질소함유 기체의 플라즈마로부터의 질소로 도핑된 제1의 산화 규소 근원층을 증착시키는 단계와, 그 상부에, 저온에서 테트라에톡시실란, 오존 및 산소의 혼합물로부터 산화 규소막을 증착시키는 단계로 구성되어, 개선된 특성을 가지는 산화 규소막을 생성시킨다.

Description

표면감수성이 감소된 오존/테트라에톡시실란 산화 규소막이 증착방법
제 1 도는 본 발명의 방법을 수행하는데 적합한 반응기의 평면도이다.
제 2 도는 본 발명의 방법을 수행하는데 적합한 반응기의 수직단면도이다.
도면의 주요부분에 대한 부호의 설명
10 : 반응기 시스템 13 : 내부 진공챔버
14 : 플라즈마 처리구역 15 : 기판
16 : 서스셉터 20: 기판 지지핑거
22 : 서스셉터 지지핑거 24 : 외부 로보트식 블레이드
26 : 공정/산화 기체 공급기
본 발명은 개선된 산화 규소막의 증착방법에 관한 것으로, 특히 테트라에톡시실란 및 오존의 열분해에 의하여 기판상에 산화 규소층을 증착시키기 위한 개선된 방법에 관한 것이다.
VLSI 반도체 장치의 제조시, 웨이퍼상에 상기 장치의 충전밀도를 증가시키기 위하여 다층의 상호접속이 이루어진다. 이를 위해서는 또한 전도층들사이에 다층의 유전층들을 증착시킬 필요가 있다. 이러한 유전층들은 하부기판에 있는 단 및 구멍들을 완전히 채울뿐만 아니라 매끄럽게 평탄화된 유전층을 형성하는 공극없는 층이 생성되도록 우수한 단 피복율(step coverage) 및 평탄화(planarization) 특성을 가져야 한다. 추가로, 이러한 유전층은, 이미 형성되어 있는 하부의 상호접속부의 손상을 피하기 위하여 저온에서, 바람직하게는, 약 400℃ 미만의 온도에서 증착될 수 있어야 한다.
산화 규소 유전층을 비교적 저온에서, 예를들면 약 375℃에서 테트라에톡시실란(이하 TEOS라 칭함), 오존 및 산소를 사용하여 우수한 공형성(conformality) 및 평탄화가 이루어지도록 증착시킬 수 있음은 공지되어 있다. TEOS와 오존의 비가 막특성 및 증착율에 영향을 미친다는 것도 또한 공지되어 있다. 예를들면, 높은 오존 : TEOS 흐름비를 사용하여 TEOS 및 오존으로부터 산화 규소를 증착시킬 때, 증착율은 감소되는 반면 막특성은 더 좋아지고, 공형성, 즉, 공극없는 충전 및 평탄화층을 생성시킬 수 있는 능력도 또한 커진다. 이러한 층들은 우수한 단 피복율 및 우수한 공형성을 제공하여 탁월한 평탄화를 이루며 규소상에 증착시 탁월한 산화규소막 특성을 제공한다. 그러나, 산화 규소가 산화 규소상에, 예를들면 열성장된 산화 규소층상에 증착될 때, 막특성들간에 차이가 발생하게 된다. 열 산화 규소상에 증착된 TEOS 및 오존으로부터의 저온 산화 규소막의 증착율은 약 20% 더 낮고, 산화 규소의 습식부식율은 높으며, 이는 막특성이 불량하다는 것을 나타낸다. 추가로, 산화 규소막은 표면은 매우 거칠고 공극을 지니며, 다공성막으로 나타난다.
후지노(Fujino) 등의 특허에서는 이 문제에 관하여 논하고 있다. 상기 특허의 해결 방법은 2단계 증착공정으로 구성되는데; 첫째 단계는 저 오존농도(0.5%)를 사용한 산화 규소의 증착을 수행하고, 다음 단계로 그위에 고 오존농도(5%)를 사용하여 제2층을 증착시킨다. 그 결과, 산화 규소 평탄화 막의 막특성이 향상된다.
그러나, 이 오존-TEOS 공정은 여전히 불량한 표면 특성 및 표면 감수성에 있어서 한계가 있다. 오존 : TEOS 비가 높을수록, 산화막의 증착율이 감소되고, 시간에 따른 습식 부식율, 내수성 및 응력 드리프트와 같은 막특성이 저하된다.
따라서, VLSI 장치의 제조에 특히, 우수한 공형성 및 막특성을 가지는, TEOS 및 오존으로부터의 산화 규소층의 개선된 증착 방법이 요구된다.
TEOS 및 오존으로부터 산화 규소를 증착시키는 본 발명의 방법은 질소도핑된 PECVD TEOS 산화 규소로 된 제1근원층(seed layer)을 증착시키고, 그 상부에 비-플라즈마, 열공정으로 제2의 산화 규소층을 증착시키는 단계를 포함하며, 고압, 고 오존 : TEOS 흐름 비를 사용한다. 생성된 산화 규소층은 감소된 표면 감수성 및 탁월한 막특성을 가지며, 미크론 이하 크기의 형태에 대해서 공극없는 막을 생성시킬 수 있는 개선된 산화 규소막의 공형성을 갖는다.
본 발명에 따라 증착된 산화 규소층은 표면 감수성 문제가 감소되거나 제거되었으며, 본 발명의 방법은 산화 규소가 증착되는 기판의 유형에 관계없이 산화 규소막에 개선된 공형성과, 공극없이 틈을 충전시키는 능력을 제공한다.
표면 감수성은 TEOS 산화 규소를, 특히 높은 비율의 오존 대 TEOS를 사용하는 공정에 대해서 규소 보다는 산화 규소사에 증착시키는 경우, 증착율의 큰 감소 및 증착된 막의 시간에 따른 습식 부식율, 내습성, 및 응력 드리프트와 관련된 막특성의 저하로 나타난다.
산화 규소상에 증착된 산화 규소막의 표면 감수성에 대한 정확한 이유는 모르지만, 본 발명인은 이를 열 성장된 산화 규소와 같은 친수성 표면상에 존재하는 Si-OH 종에 기인하는 것으로 여긴다. TEOS 분자는 소수성이기 때문에, 상기와 같은 친수성 표면에 의해 반발되며, 상기 표면에 의한 TEOS의 흡착율이 감소하고, 결과적으로 증착율이 감소된다. 규소 자체가 소수성이므로, 산하 규소상의 증착율과 반대로 규소상의 TEOS 산화 규소의 증착율이 높아지게 된다. 따라서 본 발명에 의하면 이러한 표면 감수성문제는 Si-OH 그룹을 포함하는 친수성 표면의 막보호(passivation)에 의하여 제거된다. 이러한 막보호는 TEOS 산화 규소막의 증착된 제1층내에 질소원자를 대체시킴으로써 달성되며, 이는 물 또는 -OH 라디칼 표면에 대한 수소결합을 방해한다.
질소원자를 여러 가지 방식으로 PEVCD TEOS 산화 규소 하부층내로 도입시킬 수 있는데; 예를들면, 질소기체를 표준 PECVD TEOS-산소공정에 첨가시키거나; 암모니아(NH3) 기체를 또한 단독으로 또는 질소와 함께 첨가시키거나; 하나 이상의 아산화질소(N2O), 일산화질소(NO) 또는 이산화질소(NO2) 등을 포함하는 여러가지 질소 산화물을 표준 TEOS/산소공정에 첨가시키거나; 택일적으로 질소, 질소와 암모니아의 배합물 또는 하나 이상의 질소 산화물과 암모니아의 배합물을 유리산소의 일부를 대신하여 반응물에 첨가시키거나; 또는 질소, 암모니아 및 산화질소의 배합물을 표준공정에서 산소 대신 사용할 수 있다.
제2의 산화 규소층을 공지된 CVD TEOS/오존/산소공정에 의하여 질소 함유 산화 규소 근원층상에 증착시킨다. 통상의 오존발생기를 사용하여 산소중의 약 5~13중량%의 오존 혼합물을 공급한다. 이 공정은 약 350~450℃의 비교적 저온에서 일어나며, 이는 하부 금속화 및 기판상에 이미 가공된 장치가 손상되는 것을 피한다. 이렇게 수득된 산화 규소층은 매우 공형성이며, 공극이 없는 평탄화층이 본 발명의 2층 공정에 의해 약 0.5 미크론 이하의 크기 및 1.5 : 1 이상의 종횡비로 단상에 증착되었다.
질소함유 필름 및 오존 TEOS 산화물을 동일한 반응기내에서 증착시키거나 또는 2개의 분리된 반응기에서 연속적으로 증착시킬 수 있다. 2개의 분리된 반응기를 사용하는 경우, 기판이 진공하에서 유지될 수 있도록 상호 연결된 2개의 증착 반응기에서 연속 층들을 증착시킬 수 있는 다중 챔버장치를 사용하는 것이 적합하다. 그러나, 질소함유 근원층막을 주변조건에 노출시키는 것은 질소함유 근원층이 표면감수성 기판에 보호막을 형성하여 표면 감수성 문제를 제거하는 능력에는 영향을 미치지 않는다.
본 발명의 공정이 실행될 수 있는 적합한 CVD/PECVD 반응기가 본 발명이 양수인인 어플라이드 머티어리얼스사에 양도된 창(chang) 등의 미국 특허 제4,872,947호에 기술되어 있다. 적합한 반응기의 평면도 및 수직단면도가 제 1도 및 2도에 도시되어 있다.
반응기 시스템(10)은 플라즈마 처리영역(14)을 갖는 내부 진공챔버(13)를 한정하는 용기(12)를 포함하고 있다. 상기 반응기 시스템(10)은 또한 기판 및 상기 기판과 수직으로 이동가능한 기판지지핑거(20) 및 서스셉터 지지핑거(22)를 포함하는 기판 수송 시스템(18)을 유지시키기 위한 서스텝터(16)를 포함한다. 이 핑거들은 챔버(13) 내로 기판(15)을 도입시키고, 가공을 위하여 서스셉터(16)상에 기판(15)을 증착시키고, 그후에 기판(15)을 서스텝터(16) 및 챔버(13)로부터 제거하기 위하여 외부의 로보트식 블레이드(24)와 협력하고 있다. 상기 반응기 시스템(10)은 공정 기체 및 정화 기체를 챔버(13)에 공급하는 공정/정화 기체 다기관(26); 유입기체로부터 공정기체 플라즈마를 생성 및 지속시키기 위한 고주파수 RF 전원 및 매칭 네트워크(28) 및 저주파수 RF 전원 및 매칭 네트워크(29); 기판(15)상에서 증착을 수행하기 위하여 서스텝터(16) 및 그 서스텝터(16) 상에 배치된 기판(15)을 가열하기 위한 램프가열 시스템(30)을 추가로 포함한다. 공정 기체로부터 플라즈마를 생성시키기 위하여 고주파수 RF 전원(13.56MHz)을 사용하거나, 또는 보다 낮은 RF 주파수(100~450MHz)를 사용하거나, 또는 고주파수 및 저주파수의 혼합을 사용할 수 있다.
기체 다기관(26)은 챔버(13)에 공정 기체를 공급한다. 기체 다기관(26)은 기판(15)에 대한 균일한 증착을 증진시키기 위하여 기판(15) 전면에 방사상 외향으로 균일하게 공정기체를 공급하고, RF 구동되는 기체 다기관(26)에 공정기체를 공급하는 RF/기체 공급 장치(36)를 포함할 수 있다. 이것은 고도의 플라즈마를 다기관(26)과, 접지된 서스셉터(16) 상에 배치된 기판(15) 사이로 국한시킨다.
복사 가열 시스템은, 챔버(13)내에서 석영 윈도우(40)를 통하여 서스셉터(16) 및 기판(15)에 복사열을 제공하는, 챔버(13) 외부에서 수직방향으로 배향된 석영-텅스텐-할로겐 램프(38)의 배열로 구성된다. 추가로 PECVD 반응기의 더 구체적인 사항은 미국 특허 제 4,872,947호에 개시되어 있다. 질소를 함유하는 산화 규소막의 증착은 표준 고주파수 RF 전력 또는 혼합된 고/저주파수 RF 전력을 사용하여 수행할 수 있다.
열적인 산화 규소막 증착을 또한 반응조건을 변화시키고 상기 다기관에 대해 RF 전력을 차단시킴으로써 동일 반응기내에서 수행하거나, 또는 이 두 번째 증착단계를 분리된 통상의 CVD 반응기내에서 수행할 수 있다. 2개의 반응기는 중앙의 부하 잠금 챔버가 2개 이상의 증착반응기와 연통하고 진공의 파괴없이 순차적인 증착단계를 수행하는 다중 챔버 반응기의 일부일 수 있다. 이러한 다중 챔버 반응기는 상업적으로 시판된다. 그러나, 제1질소함유 산화 규소막의 증착단계를 PECVD 반응기에서 수행하고 제2의 열적 산화 규소막의 CVD를 분리형 반응기내에서 수행하는 경우, 질소함유 산화 규소막을 주위에 노출시키는 것은 열적인 산화 규소막의 증착에 불리한 영향을 미치지 않을 것이며, 제2의 산화 규소층의 개선된 특성 및 공형성도 불리한 영향을 받지 않는다.
본 발명은 다음의 실시예에 의하여 더욱 예시될 것이다. 그러나 본 발명은 그 실시예에 상세한 설명에 한정되는 것이 아니다. 실시예에서 퍼센트는 중량 퍼센트를 나타낸다.
[실시예 1]
본 실시예는 여러 가지 증착표면과, 증착챔버내에서 고주파수 RF 플라즈마원을 사용하여 산화 규소막을 증착시킬 경우 그 차이점을 기술한다.
여러 가지 증착이 200mm 기판을 사용하여 PECVD 반응기내에서 증착조건을 변화시키면서 수행되었다. 단일 주파수 공정 및 혼합 주파수 공정을 하기의 조건하에서 수행하였다. 이러한 조건들을 보다 작은 기판크기의 규모로 축소시킬 수 있다.
상기와 같이 증착된 제 1의 질소 함유 산화 규소층을 하기 조건하에서 표준 TEOS/오존/산소 공정을 사용하여 열 CVD 산화 규소층으로 덮었다.
하기의 표 I은 각종 기판상에서의 고 증착율 공정(낮은 오존 : TEOS 비율)의 증착율 손실을 나타낸다. 기판 A는 규소막이고; 기판 B는 도시된 바와같이 질소가 있는 상태 및 없는 상태에서 7000Å/분의 증착율로 13.56MHz의 단일 주파수 RF 원에서 증착된 PECVD TEOS 산화 규소막이고; 기판 C는 도시된 바와 같이 질소가 있는 상태 및 없는 상태에서 5000Å/분의 증착율로 13.56MHz 및 100~450KHz 의 혼합 주파수에서 증착된 PECVD TEOS 산화 규소막이다. 결합된 산화 규소 증착막의 습식 부식율도 또한 측정되었다.
상기 공정을 보다 높은 비율의 오존 대 TEOS에서 수행함을 제외하고는 반복수행하였으며, 1800Å/분의 낮은 증착율이 발생하였다.
PECVD 산화 규소 근원층에 질소를 첨가하게 되면, 고 오존 : TEOS 비가 사용될 때 특히 유효한 증착율 감소가 일반적으로 더 낮아지는 것이 명백하다. 본 발명에 따라 하부층이 질소를 포함할 경우 산화 규소에 대한 습식 부식율은 규소기판에 대한 습식 부식율과 동일하다.
상기 시험을 질소함유층의 두께를 변화시키면서 반복수행하였다. 그 결과를 표 3 및 4에 요약하였으며, 표 3의 증착조건은 표 1에 상응하고, 표 4의 증착조건은 표 2에 상응한다.
질소함유층의 두께는 특히 저증착율 공정에 대하여 증착율 손실에 상대적으로 거의 효과가 없음이 명백하다.
질소함유기체를 사용하는 상기 공정은 결과적으로 안정한 응력, 3E9 장력대 3E9 압축력을 포함하는 우수한 막특성을 가지는 TEOS 산화 규소막을 생성시킨다. 굴절률의 범위는 1.44 내지 1.70 이었다. 8인치 웨이퍼 상의 막두께 균일도는 10% 미만으로 변했다(1 시그마).

Claims (7)

  1. 규소 웨이퍼상의 산화 규소층위의 금속 라인상에 평탄한 유전층을 증착시키는 방법으로서 a) 테트라에톡시실란 및 질소-함유 기체로부터 플라즈마를 발생시킴으로써 규소 웨이퍼상에 제1산화 규소층을 증착시키는 단계; 및 b) 테트라에톡시실란, 오존 및 산소의 열적인 화학적 증착에 의해 상기 제1산화 규소층상에 제2산화 규소층을 증착시키는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 질소-함유 기체가 질소, 암모니아, 아산화 질소, 산화 질소 및 이산화질소, 또는 이들의 혼합물인 방법.
  3. 제1항에 있어서, 상기 금속 라인의 폭이 1 미크론 이하인 방법.
  4. 제1항에 있어서, 상기 증착을 500℃ 미만의 온도에서 수행하는 방법.
  5. 제1항에 있어서, 상기 플라즈마 증착 단계 및 상기 화학적 증착 단계를 동일한 반응 챔버에서 수행하는 방법.
  6. 제1항에 있어서, 상기 플라즈마 증착 단계 및 상기 화학적 증착 단계를 별도의 반응 챔버에서 수행하는 방법.
  7. 제 6 항에 있어서, 상기 제 1 공정 단계를 플라즈마 보강된 화학적 증착 챔버에서 수행하고, 상기 제2공정 단계를 열적인 화학적 증착 챔버에서 수행하는 방법.
KR1019930010702A 1992-06-10 1993-06-10 표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법 KR100215376B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7/896,296 1992-06-10
US07/896,296 US5356722A (en) 1992-06-10 1992-06-10 Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity

Publications (2)

Publication Number Publication Date
KR940006214A KR940006214A (ko) 1994-03-23
KR100215376B1 true KR100215376B1 (ko) 1999-08-16

Family

ID=25405970

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930010702A KR100215376B1 (ko) 1992-06-10 1993-06-10 표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법

Country Status (5)

Country Link
US (1) US5356722A (ko)
EP (1) EP0573911B1 (ko)
JP (1) JP3083934B2 (ko)
KR (1) KR100215376B1 (ko)
DE (1) DE69330851T2 (ko)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH06326026A (ja) * 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5595936A (en) * 1993-08-04 1997-01-21 Hyundai Electronics Industries Co., Ltd. Method for forming contacts in semiconductor device
US5503882A (en) * 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
JP3373057B2 (ja) * 1994-07-29 2003-02-04 エヌオーケー株式会社 水素分離膜の製造法
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
EP0724286A1 (en) * 1995-01-25 1996-07-31 Applied Materials, Inc. A method of forming a thin film of silicon oxide for a semiconductor device
US5635425A (en) * 1995-05-25 1997-06-03 Industrial Technology Research Institute In-situ N2 plasma treatment for PE TEOS oxide deposition
KR0151051B1 (ko) * 1995-05-30 1998-12-01 김광호 반도체장치의 절연막 형성방법
US5563104A (en) * 1995-06-23 1996-10-08 Taiwan Semiconductor Manufacturing Company Ltd. Reduction of pattern sensitivity in ozone-teos deposition via a two-step (low and high temperature) process
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR0179554B1 (ko) * 1995-11-30 1999-04-15 김주용 반도체 소자의 소자분리절연막 형성방법
US5904573A (en) * 1996-03-22 1999-05-18 Taiwan Semiconductor Manufacturing Company,Ltd. PE-TEOS process
US6345589B1 (en) 1996-03-29 2002-02-12 Applied Materials, Inc. Method and apparatus for forming a borophosphosilicate film
GB9607090D0 (en) * 1996-04-03 1996-06-05 Bratton Graham J Improved membrane
JPH1027792A (ja) * 1996-07-11 1998-01-27 Miyazaki Oki Electric Co Ltd 半導体装置の製造方法
US5849635A (en) * 1996-07-11 1998-12-15 Micron Technology, Inc. Semiconductor processing method of forming an insulating dielectric layer and a contact opening therein
US5795833A (en) * 1996-08-01 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating passivation layers over metal lines
US20010012700A1 (en) * 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5862057A (en) * 1996-09-06 1999-01-19 Applied Materials, Inc. Method and apparatus for tuning a process recipe to target dopant concentrations in a doped layer
JPH1092810A (ja) * 1996-09-10 1998-04-10 Mitsubishi Electric Corp 半導体装置
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6551665B1 (en) * 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
KR100252220B1 (ko) * 1997-06-25 2000-04-15 윤종용 반도체장치의산화막두께표준시료및그제조방법
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6042887A (en) * 1998-01-12 2000-03-28 Taiwan Semiconductor Manufacturing Company Process for forming a sausg inter metal dielectric layer by pre-coating the reactor
US6033998A (en) * 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
WO2000055901A1 (en) * 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
WO2000077831A2 (en) * 1999-06-11 2000-12-21 Quester Technology, Inc. Methods for regulating surface sensitivity of insulating films in semiconductor devices
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7829159B2 (en) * 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP5168935B2 (ja) * 2007-02-21 2013-03-27 富士通セミコンダクター株式会社 半導体装置の製造方法
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
GB0922647D0 (en) * 2009-12-24 2010-02-10 Aviza Technologies Ltd Methods of depositing SiO² films
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9728450B2 (en) 2015-06-25 2017-08-08 International Business Machines Corporation Insulating a via in a semiconductor substrate
JP2019057634A (ja) * 2017-09-21 2019-04-11 東芝メモリ株式会社 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
GB2219434A (en) * 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
FR2660440B1 (fr) * 1990-04-03 1992-10-16 Commissariat Energie Atomique Composant optique integre protege contre l'environnement et son procede de fabrication.
JP2814009B2 (ja) * 1990-06-05 1998-10-22 三菱電機株式会社 半導体装置の製造方法
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby

Also Published As

Publication number Publication date
EP0573911A2 (en) 1993-12-15
KR940006214A (ko) 1994-03-23
DE69330851T2 (de) 2002-06-06
EP0573911A3 (en) 1995-02-15
JPH0677150A (ja) 1994-03-18
EP0573911B1 (en) 2001-10-04
JP3083934B2 (ja) 2000-09-04
US5356722A (en) 1994-10-18
DE69330851D1 (de) 2001-11-08

Similar Documents

Publication Publication Date Title
KR100215376B1 (ko) 표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법
US5271972A (en) Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US6974780B2 (en) Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US6251807B1 (en) Method for improving thickness uniformity of deposited ozone-teos silicate glass layers
US5648175A (en) Chemical vapor deposition reactor system and integrated circuit
US7381644B1 (en) Pulsed PECVD method for modulating hydrogen content in hard mask
KR100930430B1 (ko) 성막 방법 및 성막 장치
US6139923A (en) Method and apparatus for reducing particle contamination in a substrate processing chamber
US20120204795A1 (en) Methods to improve the in-film defectivity of pecvd amorphous carbon films
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JPH083749A (ja) プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法
JP4044637B2 (ja) プラズマ励起cvd膜の界面品質改良のための方法
JP2023524253A (ja) ハードマスクの選択性改善のための不活性ガス注入
US6436303B1 (en) Film removal employing a remote plasma source
JPH05217922A (ja) プラズマによる気相からの層析出方法
US5045346A (en) Method of depositing fluorinated silicon nitride
JPH1064896A (ja) キャリアガスとしてヘリウムを用いた誘電性フィルムの形成方法および該フィルムを形成するための装置
JPH0766186A (ja) 誘電体の異方性堆積法
US5061514A (en) Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
US5849644A (en) Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
US6090725A (en) Method for preventing bubble defects in BPSG film
US6383949B1 (en) Method of depositing an ozone-TEOS oxide film to eliminate its base material dependence, and apparatus for forming such a film at several different temperatures
US20050042885A1 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JPH09199501A (ja) SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080407

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee