JPH083749A - プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法 - Google Patents

プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法

Info

Publication number
JPH083749A
JPH083749A JP6319916A JP31991694A JPH083749A JP H083749 A JPH083749 A JP H083749A JP 6319916 A JP6319916 A JP 6319916A JP 31991694 A JP31991694 A JP 31991694A JP H083749 A JPH083749 A JP H083749A
Authority
JP
Japan
Prior art keywords
substrate
silicon oxynitride
gas mixture
reactant gas
oxynitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6319916A
Other languages
English (en)
Other versions
JP3135198B2 (ja
Inventor
Kam Law
ロウ カム
Jeff Olsen
オルセン ジェフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH083749A publication Critical patent/JPH083749A/ja
Application granted granted Critical
Publication of JP3135198B2 publication Critical patent/JP3135198B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【目的】 本発明は、アンモニアを基礎とする化学を用
いずに、低い処理温度にてシリコンオキシナイトライド
膜を生成する方法を提供することを目的とする。 【構成】 シリコンオキシナイトライドを形成する改良
方法は、250℃より低い堆積温度で、シラン、亜酸化
窒素及び窒素の反応体ガス混合物を利用し、これらをガ
ス流入マニホールドを介して流す。多くの場合は、ガス
流入マニホールドもプラズマ励起CVDチャンバ内の電
極である。ガス流入マニホールドは、反応体ガスをチャ
ンバ内に流通させるための、平行板プラズマチャンバの
1枚の板である。この板は複数の開口を有し、各開口は
チャンバ側ないし板の処理側に出口と、処理側から離れ
た入口とを備え、ガスの解離度と反応性とを高めるため
に、この出口は入口よりも大きくなっている。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、プラズマ励起化学気相
堆積(PECVD;プラズマ励起CVD)プロセスにお
いてシリコンオキシナイトライド(silicon oxy-nitride
)膜を形成する改良された方法に関し、特に、反応物ガ
スにアンモニアを実質的に用いないPECVDプロセス
においてシリコンオキシナイトライド膜を形成する改良
された方法に関する。
【0002】
【従来の技術】PECVDは、絶縁性のガラス製の基板
を含む基板上に電子材料の層を堆積させる半導体デバイ
ス製造に、広く用いられている。PECVDでは、平行
板電極その他の電気的ソースが備えられた真空堆積チャ
ンバ内に基板体が置かれる。一般に基板体は、下側の電
極としても作用するサセプタ上に配置される。堆積チャ
ンバ内には反応体ガスの流れが、上側の電極としても作
用するガス流入マニホールドを介して与えられる。反応
体ガス内に形成されるプラズマを生じるに充分なRF出
力を発生させるこれら2つの電極の間で、高周波(R
F)電圧が印加される。プラズマは、反応体ガスを分解
し、基板体の表面上に所望の材料の層を堆積させる。更
に他の材料の層を、最初の層の上に堆積させることがで
き、それは堆積させようとする層の材料を含む反応体ガ
スを堆積チャンバ内に流すことにより行われる。各反応
体ガスはプラズマに暴露されて、所望の材料の層を堆積
させる。
【0003】シリコンオキシナイトライド膜の形成に用
いられる従来のPECVD法では、アンモニアを基礎と
する化学が用いられ、それはシラン、亜酸化窒素(nitro
us oxide)、アンモニア及び窒素を必要とする。このよ
うなアンモニアを基礎とする化学では、シランが係る膜
の珪素含有分を供給し、亜酸化窒素が係る膜の酸素含有
分を供給し、アンモニアが係る膜の窒素含有分を供給
し、そして窒素が希釈剤の役割を果たす。窒素ガスの中
では三重結合を分解することが困難であるため、PEC
VD技術によりシリコンオキシナイトライドを堆積させ
るプロセスにおいては、窒素のソース(source)としてア
ンモニアが通常用いられる。
【0004】近年において、半導体デバイスの最終のパ
ッシベーション層(passivation layer )としてのシリコ
ンオキシナイトライドの堆積が、薄膜トランジスタ(Th
in Film Transistor;TFT)の製造の中の重要なステ
ップになっている。TFTのこのタイプのものは、2つ
のガラスプレート間の液晶セルのエリアを、非常に高い
速度で個々にアドレスするために用いられてきた。これ
らは、TVやコンピュータモニタに用いられるようなア
クティブマトリクスディスプレイに有用である。
【0005】シリコンオキシナイトライド膜がTFTの
最後のパッシベーション層として堆積される場合、トラ
ンジスタの上に既に堆積されている層の存在及びこれら
が高温において敏感であるため、堆積操作の温度が大き
く制限される。最後のシリコンオキシナイトライド層を
堆積するプロセスのための温度の限度は、しばしば25
0℃未満の温度に制限される。この処理の温度は、PE
CVDによりSi基板上にシリコンオキシナイトライド
を堆積させる通常の温度、即ち350℃から450℃の
間の温度に較べて著しく低い。
【0006】
【発明が解決しようとする課題】250℃未満の低い処
理温度においては、シリコンオキシナイトライド膜を形
成するためのアンモニアを基礎とする化学は、生成され
る膜の品質上多くの問題を生じる。多孔質となることや
密度が低くなることといった問題は、水素リッチなアン
モニアに起因して膜内の水素原子含有量が高くなること
により生じると考えられてきた。アンモニアを基礎とす
る化学によりこのような低い処理温度で形成されるシリ
コンオキシナイトライド膜は、パッシベーション層とし
ての膜の機能に対して有害な空孔を非常に多く含んでい
る。多孔質のパッシベーション層は、物理的な侵食や汚
染物の浸透に対しての保護機能を既に有していない。従
って、TFT上へのシリコンオキシナイトライド膜の生
成にアンモニアを基礎とする化学を用いる従来の方法
は、TFT産業には容認されないものである。
【0007】従って本発明は、アンモニアを基礎とする
化学を用いずに、低い処理温度にてシリコンオキシナイ
トライド膜を生成する方法を提供することを目的とす
る。
【0008】また、本発明は、実質的にアンモニアを基
礎とする化学を用いずに、250℃未満の処理温度にお
けるPECVDプロセスによって、TFT上にシリコン
オキシナイトライド膜を生成する方法を提供することを
目的とする。
【0009】更に、本発明は、低い処理温度において2
00nm/min.よりも高い堆積速度を実現できるP
ECVDプロセスによって、TFT基板上にシリコンオ
キシナイトライド膜を生成する改良された方法を提供す
ることを目的とする。
【0010】
【課題を解決するための手段及び作用】本発明は、低い
処理温度のプラズマ励起CVDチャンバ内で基板上にシ
リコンオキシナイトライド膜を形成する改良された方法
を提供する。
【0011】好適な実施例では、シリコンオキシナイト
ライドを形成する改良方法は、250℃より低い堆積温
度で、シラン、亜酸化窒素及び窒素の反応体ガス混合物
を利用し、これらをガス流入マニホールドを介して流
す。多くの場合は、ガス流入マニホールドもプラズマ励
起CVDチャンバ内の電極である。ガス流入マニホール
ドは、反応体ガスをチャンバ内に流通させるための、平
行板プラズマチャンバの1枚の板である。この板は複数
の開口を有し、各開口はチャンバ側ないし板の処理側に
出口と、処理側から離れた入口とを備え、ガスの解離度
と反応性とを高めるために、この出口は入口よりも大き
くなっている。
【0012】ガス流入マニホールドは、反応体ガス混合
物中に含有される窒素ガスの解離を高め、シリコンオキ
シナイトライド膜に必要な窒素を提供する。この反応
は、250℃より低い処理温度においても充分高い堆積
速度、即ち約200nm/min.で進行する。
【0013】本発明は、アンモニアを基礎とする化学を
用いず、製造プロセスに適した充分高い堆積速度でシリ
コンオキシナイトライド膜の形成を可能にする。この方
法は、アンモニアを基礎とする化学を含むプロセスが低
い処理温度で行われた場合にアンモニアを基礎とする化
学に関係する全ての欠点を実質的に取り除く。空孔の問
題のない高品質のシリコンオキシナイトライド膜が生成
される。
【0014】更に本発明は、シラン、亜酸化窒素及び窒
素の反応体ガス混合物を用いて、アンモニアを含まない
化学により生成されるシリコンオキシナイトライド膜に
向いている。この様な膜は、250℃よりも低い処理温
度で且つ充分高い堆積速度で実施される製造プロセスに
おいて生成が可能である。
【0015】
【実施例】本発明は、比較的低い処理温度且つ充分高い
堆積速度で、アンモニアを含まないプロセスにより、プ
ラズマ励起CVDチャンバ内でシリコンオキシナイトラ
イド膜を形成する改良方法を含む。
【0016】図1は、本発明に従った方法の実施に使用
可能なプラズマ励起CVD装置10の断面図である。Tu
rnerらは、米国特許第08/010, 683号(199
3年1月28日に提出、これを原出願として日本国に特
願平6−8681号を出願)にこのような装置を開示し
ている。堆積チャンバ12は、上壁14に通じる開口
と、この開口内部のガス流入マニホールド16に結合さ
れた第1の電極とを包含する。あるいは、上壁14は、
内部の内表面附近にある電極16と一体であってもよ
い。チャンバ12内部にはサセプタ18が、第1の電極
16と平行に伸びる板の形態で存在する。サセプタ18
は通常は、アルミニウム製であり酸化アルミニウムの層
でコーティングされている。サセプタ18は接地され、
第2の電極として作用する。サセプタ18は、堆積チャ
ンバ12の底壁22を通って垂直に伸びるシャフト20
の一端に配置される。サセプタ18が第1の電極に対し
て垂直方向に近付いたり離れたりできるよう、シャフト
20は垂直方向に可動である。リフトオフ板24は、サ
セプタ18とサセプタ18に対して実質的に平行な底壁
22との間で水平方向に伸び、垂直方向に可動である。
リフトオフピン26は、リフトオフ板24から垂直方向
上向きに突き出ている。リフトオフピン26は、サセプ
タ18のリフトホール28の中を通って延長するように
位置し、サセプタ18の厚さよりも少しだけ長い長さを
有する。図にはリフトピン26が2つしか表されていな
いが、これよりも多くのリフトオフピン26がリフトオ
フ板の周回に配置されていてもよい。ガス排出口30
は、堆積チャンバ12の側壁32を通って延長し、堆積
チャンバ12の排気のための手段(図示せず)に接続さ
れる。ガス流入管42は、堆積チャンバ12の第1の電
極ないしガス流入マニホールド16の中に延長し、ガス
スイッチ回路網(図示せず)を介して種々のガスのソー
ス(図示せず)に接続される。第1の電極16は、RF
出力ソース36に接続される。通常は、移動パドル(図
示せず)が具備されて、基板をロードロックドア(図示
せず)を介して堆積チャンバ12内に運搬してサセプタ
18上に載せ、また、堆積チャンバ12からコーティン
グの済んだ基板を除去する。本発明の堆積操作が行われ
る前に、ガラス製の大きなTFTシートである基板38
は、周知のTFT技術によって処理される。
【0017】堆積装置10の操作においては、まず基板
38が、堆積チャンバ12内に導入され移動パドル(図
示せず)によりサセプタ18上に置かれる。基板38
は、サセプタ18のリフトホール28の上へ達するサイ
ズを有している。通常用いられるTFT基板のサイズ
は、約360mm〜465mmである。サセプタ18
は、リフトピン26がホール28まで達しないようにシ
ャフト20を上向きに移動させることによって、リフト
ピン26の上方に位置しており、サセプタ18と基板3
8とは、第1の電極16に比較的近くなっている。電極
間隔ないし基板表面とガス流入口16の放電面との距離
は、約0.5インチ〜約2インチ(約12.7mm〜約
51.4mm)である。この電極間隔は、更に好適に
は、約0.8インチ〜約1.4インチ(約20.3mm
〜約35.6mm)である。
【0018】堆積チャンバ12がガス流入口30を介し
て排気された後、シラン、亜酸化窒素及び窒素から構成
された反応体ガス混合物がガス流入口42を介して供給
され、ガス流入マニホールド16の開口40を介してチ
ャンバ12内へ供給される。ガス流入マニホールド16
のフェースプレート44は、特に細密充填に配置された
多数の空孔ないし開口40を有している。各開口40
は、ガス流入マニホールドのガス流入側(ないし比較的
高圧側)に断面の寸法が比較的小さい流入口と、ガス排
出側(ないし真空チャンバ側)に断面の寸法が比較的大
きい排出口とを有する。このガスの流れる方向に大きく
なる空孔の直径が、窒素等の反応体ガスの解離度及び反
応性を増加させ、よって、この解離速度を増加させ、こ
れと対応してシリコンオキシナイトライド膜の堆積を増
加させる。このようなガス流入マニホールドは、米国特
許第4, 854, 263号に詳細が記載されている。
【0019】図2は、本実施例に好適に使用されるフェ
ースプレートの開口131の態様を表す断面図であり、
図3は、フェースプレート130の下から見た平面図で
ある。米国特許第4, 854, 263号に詳細が記載さ
れているフェースプレートには、好適には、放物線状、
双曲線状あるいは好適には円錐状を含む凹型状の断面を
有する任意の数の開口が形成されてもよい。このような
開口131は、典型的には、図2(a)あるいは図2
(b)に示されるように、比較的小さい断面の寸法d1
をもつ長さl1 のガス流入側部分(ないし比較的高圧側
部分)133と、比較的大きい断面の寸法d2 をもつ長
さl2 のガス排出側部分(ないし真空チャンバ側部分)
134とを有する凹型の断面形状を有する。ガス排気側
部分134は、角度αをもって傾斜する側壁136を有
する。このような開口131の好適なサイズの一例を挙
げれば、フェースプレートの厚さt=400mils
(約10.16mm)に対して、l1 =50mils
(約1.27mm);l2 =350mils(約8.8
9mm);角度α=30゜;d1 =16mils(約
0.41mm);d2 =190mils(約4.826
mm)であってもよい。また、好ましくは、図3(a)
及び図3(b)に示されるように、フェースプレート1
30には、開口131が六方面心(一点鎖線141で示
されている)にオーバーラップし咬み合って配置されて
いてもよい。
【0020】従って、この直径が広がる開口40及びフ
ェースプレート44を用いることにより、窒素を充分に
解離させ、その結果、従来技術のシリコンオキシナイト
ライド膜の堆積プロセスのようにアンモニアを基礎とす
る化学を用いずに、高いシリコンオキシナイトライド膜
の堆積速度が与えられる。
【0021】本発明の実施に当たり、堆積チャンバ内の
圧力は約0.5〜約3.5トールに設定される。RF出
力ソース36のスイッチを入れ、第1の電極16と反応
体ガスを介してサセプタ18との間にRF出力を与え
る。出力は、反応体ガス混合物中にプラズマを発生させ
るに充分な基板38の面積に基づく全出力必要量をもっ
て、好適には約500〜約2000ワットの間である。
基板38は、反応体ガス混合物のプラズマに、少なくと
も1秒間、好適には約2〜5秒間さらされる。プラズマ
は、反応体ガスを解離せしめ、基板38の表面上にシリ
コンオキシナイトライド材料の層を堆積せしめる。
【0022】堆積操作中の基板38は、約150℃から
約250℃の間の温度に維持され、更に好適には約18
0℃から約210℃の間の温度に維持される。この温度
は、比較的低い処理温度であり、この基板38ではシリ
コンオキシナイトライド層が最終のパッシベーション層
となる場合がしばしばであるので、基板38上に前もっ
て堆積された層を損ねないために必要となっている。チ
ャンバ内に種々の反応体ガス成分が適切な流量で流入さ
れることにより、反応体ガス混合物は維持されている。
例えば、前述した基板領域においては、通常はシランが
150〜500sccmで流され、亜酸化窒素が100
〜2000sccmでチャンバに流入され、窒素が50
0〜5000sccmでチャンバに流入される。一般に
は、パッシベーションの目的で堆積されるシリコンオキ
シナイトライド膜の層の厚さは、約400nmから約8
00nmの間で変動する。
【0023】満足なシリコンオキシナイトライド膜を生
成するためには、膜中の窒素の含有率は所定の範囲内に
維持される必要がある。この窒素含有量における最適な
範囲は、係る膜の屈折率の測定値が約1.5から約1.
9の間にあることで与えられる。膜のサンプルに関する
屈折率の測定は、簡易であり且つ信頼性の高い膜の窒素
含有量の決定方法である。例えば、窒素が含まれない二
酸化珪素膜では、屈折率の測定値はおよそ1.46であ
る。他方、酸素が含まれない窒化珪素膜では、屈折率の
測定値はおよそ1.95である。従って、シリコンオキ
シナイトライド膜中の窒素の含有量を検出するには、そ
の屈折率を測定することが簡易で害の無い方法である。
また、この方法は、製造プロセスにおいて信頼性の高い
品質管理の方法でもある。
【0024】本発明は、以下の実施例1〜4において更
に詳細に例示される。ここで、実施例1及び実施例2
は、従来よりのアンモニアを基礎とする化学プロセスを
用いたシリコンオキシナイトライド膜の堆積である。実
施例1及び実施例2は、本発明により得られる利点を示
すための比較として表され、この利点は実施例3及び実
施例4において例示される。
【0025】
【表1】
【0026】ここで、ウェットエッチング速度は膜の品
質を測定するために用いられるが、この膜の品質とは即
ち、生成されたシリコンオキシナイトライド膜の空孔が
無いことである。この測定は、6:1のバッファHF溶
液内で実施される。得られた数値が高いほど、膜におけ
る空孔やボイドの数が多い。ウェットエッチング速度が
3000オングストローム/分未満であれば、パッシベ
ーション層としてのシリコンオキシナイトライド膜の製
造における膜の品質は満足なレベルであると考えられ
る。
【0027】
【表2】
【0028】比較例的な実施例2は、従来よりのアンモ
ニアを基礎とする化学プロセスを用いた別の例である。
ウェットエッチング速度で示された様に膜の性質が改善
されなかった結果に終わった比較例的実施例1に対し
て、N2 の流量が2倍となっている。
【0029】
【表3】
【0030】創作的な実施例3は、アンモニアガスを必
要としない本発明を例示している。屈折率の測定値は、
膜内に充分な量の窒素が含まれたことを示している。ウ
ェットエッチング速度のデータは、膜の品質が良好な事
と生成された膜にはボイドや空孔が少ない事とを示して
いる。
【0031】
【表4】
【0032】創作的な実施例4も、アンモニアガスを必
要としない本発明を例示している。実施例3で示された
値に較べて実施例4では、SiH4 、N2 O及びN2
ガス流量と、RF出力とが高い値となっている。実施例
4の反応チャンバ内の圧力は、実施例3での圧力に較べ
て僅かに小さくなっている。ここでも、屈折率で示され
たように、適切な窒素含有量を有するシリコンオキシナ
イトライド膜が得られた。1940というウェットエッ
チング速度の値は、空孔がほとんどない良質な膜が得ら
れたことを示している。
【0033】実施例3及び実施例4ではアンモニアを使
用していなかったが、少量のアンモニア、実質的に従来
技術のアンモニア含有量よりも少ない量が存在しても、
本発明の利点たる結果をひどく損ねることはないであろ
うと考えられる。例えば、実質的にアンモニアを含まな
い反応体ガス混合物を用いるということは、アンモニア
を流量で50sccm未満含む反応体ガス混合物を意味
する。
【0034】以上の様に、例示された態様によって本発
明を説明してきたが、ここで用いられた用語は説明の言
葉と同種のものを意図し、制限する意図はない。
【0035】更に、本発明は好適な具体例に関して説明
されてきたが、ここでの教示を当業者が直ちに本発明の
変形に応用するであろうことが理解されよう。例えば、
実施例において示された窒素ガスの代りに、他の窒素ソ
ースを適切に用いて膜の窒素含有分を供給してもよい。
シラン以外の珪素ソースを膜の珪素含有分のソースガス
として用いてもよい。同様に、亜酸化窒素以外の酸素ソ
ースを用いてシリコンオキシナイトライド膜の酸素含有
分を供給してもよい。
【0036】
【発明の効果】以上詳細に説明したように、本発明によ
れば、アンモニアを基礎とする化学を用いずに、低い処
理温度であっても製造プロセスに適した充分高い堆積速
度でシリコンオキシナイトライド膜を生成する方法が提
供される。
【0037】更に、本発明によれば、実質的にアンモニ
アを基礎とする化学を用いずに、250℃未満の処理温
度におけるPECVDプロセスによって、TFT上にシ
リコンオキシナイトライド膜を生成する方法が提供され
る。
【0038】従って、TFT上の最終のパッシベーショ
ン層としての膜の機能に対して有害である空孔を含まな
い高品質のシリコンオキシナイトライド膜を、高い製造
速度で形成することが可能となる。
【図面の簡単な説明】
【図1】本発明の実施例に用いられたプラズマ励起CV
Dチャンバの断面図である。
【図2】本発明に好適なプラズマ励起CVDチャンバの
フェースプレートに具備される開口の断面図であり、米
国特許第4, 854, 263号に記載されている。
【図3】本発明に好適なプラズマ励起CVDチャンバの
フェースプレートの上面図であり、図3(b)は図3
(a)の更に詳細な図である。尚、これらは米国特許第
4, 854, 263号に記載されている。
【符号の説明】
10…プラズマ励起CVD装置、12…堆積チャンバ、
14…上壁、16…ガス流入マニホールド、18…サセ
プタ、20…シャフト、22…底壁、24…リフトオフ
板、26…リフトオフピン、28…リフトホール、30
…ガス排出口、32…側壁、36…RF出力ソース、3
8…基板、40…開口、44…フェースプレート、13
1…フェースプレート、131…開口、133…ガス流
入側部分、134…ガス排出側部分、136…側壁、1
41…一点鎖線。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフ オルセン アメリカ合衆国, カリフォルニア州 95070, サラトガ, キャンヨン ヴュ ウ ドライヴ 20880

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 実質的にアンモニア非含有である反応体
    ガス混合物を用いて、250℃未満の堆積温度でシリコ
    ンオキシナイトライド膜を基板上に堆積する堆積方法。
  2. 【請求項2】 前記反応体ガス混合物が、珪素ソースガ
    スと、酸素ソースガスと、窒素ソースガスとを備える請
    求項1に記載の堆積方法。
  3. 【請求項3】 前記基板が薄膜トランジスタである請求
    項1に記載の堆積方法。
  4. 【請求項4】 前記基板が、ガラス支持体上の薄膜トラ
    ンジスタである請求項1に記載の堆積方法。
  5. 【請求項5】 前記堆積のプロセスが、プラズマ励起C
    VD装置内で実施される請求項1に記載の堆積方法。
  6. 【請求項6】 実質的にアンモニア非含有である反応体
    ガス混合物により、250℃未満の堆積温度で堆積され
    たシリコンオキシナイトライド膜を有する基板。
  7. 【請求項7】 プラズマ励起CVDチャンバ内で実施さ
    れる基板上へのシリコンオキシナイトライド膜の堆積方
    法であって、 基板温度を250℃未満の温度に維持するステップと、 前記チャンバ内に実質的にアンモニア非含有の反応体ガ
    ス混合物を流入させるステップと、 前記反応体ガス混合物に充分長時間プラズマを発生さ
    せ、前記基板上にシリコンオキシナイトライド膜を堆積
    させるステップとを含む堆積方法。
  8. 【請求項8】 前記反応体ガス混合物が、シランと、亜
    酸化窒素と、窒素とを含む請求項7に記載の堆積方法。
  9. 【請求項9】 前記基板が、薄膜トランジスタが形成さ
    れた部分を有する請求項7に記載の堆積方法。
  10. 【請求項10】 前記シリコンオキシナイトライド膜が
    約400〜約800nmの厚さで形成される請求項7に
    記載の堆積方法。
  11. 【請求項11】 基板であって、 プラズマ励起CVDチャンバ内で前記基板の温度を25
    0℃未満の温度に維持し、前記チャンバ内に実質的にア
    ンモニア非含有の反応体ガス混合物を流入させ、前記反
    応体ガス混合物に充分長時間プラズマを発生させて前記
    基板上に堆積されたシリコンオキシナイトライド膜を有
    する基板。
  12. 【請求項12】 ガス流入マニホールドを備えたプラズ
    マ励起CVDチャンバ内で実施される基板上へのシリコ
    ンオキシナイトライド膜の堆積方法であって、 前記プラズマ励起CVDチャンバ内に基板を搬入するス
    テップと、 基板温度を250℃より高くない温度に維持するステッ
    プと、 実質的にアンモニア非含有の反応体ガス混合物を、前記
    ガス流入マニホールドを介して前記チャンバに流入させ
    るステップと、 前記反応体ガス混合物のプラズマを前記チャンバ内に発
    生させるステップと、 前記反応体ガス混合物からシリコンオキシナイトライド
    膜を前記基板上に堆積させるステップであって、堆積さ
    れた前記シリコンオキシナイトライド膜が実質的にボイ
    ドを含まないステップとを含む堆積方法。
  13. 【請求項13】 前記反応体ガス混合物が、シランと、
    亜酸化窒素と、窒素とを含む請求項12に記載の堆積方
    法。
  14. 【請求項14】 形成された前記シリコンオキシナイト
    ライド膜が、前記基板上の最終のパッシベーション層で
    ある請求項12に記載の堆積方法。
  15. 【請求項15】 形成された前記シリコンオキシナイト
    ライド膜が、約1.5〜約1.9の屈折率を有する請求
    項12に記載の堆積方法。
  16. 【請求項16】 前記シリコンオキシナイトライド膜
    が、6:1バッファHF溶液内で測定されるウェットエ
    ッチング速度において3000オングストローム/分未
    満のウェットエッチング速度を有して形成される請求項
    12に記載の堆積方法。
  17. 【請求項17】 前記ガス流入マニホールドが更に複数
    の開口を有し、前記各開口が、前記マニホールドのチャ
    ンバ側ないし処理操作側の出口と該処理操作側と離れた
    入口とであって、該ガスの解離度及び反応性を高めるた
    めに前記出口が前記入口よりも大きい、出口と入口とを
    備える請求項12に記載の堆積方法。
  18. 【請求項18】 前記基板の温度が180〜210℃に
    維持される請求項12に記載の堆積方法。
  19. 【請求項19】 該堆積のプロセス中の前記CVDチャ
    ンバ内の圧力が、0.5〜3.5トールに維持される請
    求項12に記載の堆積方法。
  20. 【請求項20】 シリコンオキシナイトライド膜であっ
    て、 ガス流入マニホールドを備えたプラズマ励起CVDチャ
    ンバ内で、前記プラズマ励起CVDチャンバ内に基板を
    搬入し、基板温度を250℃未満の温度に維持し、実質
    的にアンモニア非含有の反応体ガス混合物を、前記ガス
    流入マニホールドを介して前記チャンバに前記流入さ
    せ、前記反応体ガス混合物のプラズマを前記チャンバ内
    に発生させ、前記反応体ガス混合物から前記基板上に形
    成された実質的にボイドを含まないシリコンオキシナイ
    トライド膜。
  21. 【請求項21】 薄膜トランジスタであって、 ガス流入マニホールドを備えたプラズマ励起CVDチャ
    ンバ内で、前記プラズマ励起CVDチャンバ内に基板を
    搬入し、基板温度を250℃未満の温度に維持し、実質
    的にアンモニア非含有の反応体ガス混合物を、前記ガス
    流入マニホールドを介して前記チャンバに前記流入さ
    せ、前記反応体ガス混合物のプラズマを前記チャンバ内
    に発生させ、前記反応体ガス混合物から前記基板上に形
    成された実質的にボイドを含まないシリコンオキシナイ
    トライド膜を備える、基板に含まれる薄膜トランジス
    タ。
JP06319916A 1993-12-28 1994-12-22 プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法 Expired - Fee Related JP3135198B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17409593A 1993-12-28 1993-12-28
US08/174095 1993-12-28

Publications (2)

Publication Number Publication Date
JPH083749A true JPH083749A (ja) 1996-01-09
JP3135198B2 JP3135198B2 (ja) 2001-02-13

Family

ID=22634805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06319916A Expired - Fee Related JP3135198B2 (ja) 1993-12-28 1994-12-22 プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法

Country Status (4)

Country Link
US (2) US5928732A (ja)
EP (1) EP0661732B1 (ja)
JP (1) JP3135198B2 (ja)
DE (1) DE69433836D1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960581A (en) * 1988-03-16 1990-10-02 Mitsui Toatsu Chemicals, Inc. Method for preparing gaseous metallic fluoride
JP2003527738A (ja) * 1998-07-13 2003-09-16 エーケーティー株式会社 基板ハンドリングチャンバ内の基板支持体の加熱
JP2012150062A (ja) * 2011-01-21 2012-08-09 Secure Design Solutions Inc 静電気容量検知型指紋読取りセンサ
JP2013527319A (ja) * 2010-04-28 2013-06-27 アプライド マテリアルズ インコーポレイテッド 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
KR20180080704A (ko) * 2014-04-21 2018-07-12 도쿄엘렉트론가부시키가이샤 밀봉막의 형성 방법

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5831283A (en) 1995-11-30 1998-11-03 International Business Machines Corporation Passivation of copper with ammonia-free silicon nitride and application to TFT/LCD
US5926689A (en) * 1995-12-19 1999-07-20 International Business Machines Corporation Process for reducing circuit damage during PECVD in single wafer PECVD system
US6060404A (en) * 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. In-situ deposition of stop layer and dielectric layer during formation of local interconnects
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6221794B1 (en) * 1998-12-08 2001-04-24 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
JP3406250B2 (ja) * 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6436805B1 (en) * 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6800512B1 (en) * 1999-09-16 2004-10-05 Matsushita Electric Industrial Co., Ltd. Method of forming insulating film and method of fabricating semiconductor device
US6372668B2 (en) 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6660651B1 (en) 2001-11-08 2003-12-09 Advanced Micro Devices, Inc. Adjustable wafer stage, and a method and system for performing process operations using same
US6509282B1 (en) * 2001-11-26 2003-01-21 Advanced Micro Devices, Inc. Silicon-starved PECVD method for metal gate electrode dielectric spacer
US7033632B2 (en) * 2002-05-06 2006-04-25 Schreiber Foods, Inc. Casting food products to controlled dimensions
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US6811880B1 (en) 2003-04-04 2004-11-02 Ensci Inc. Metal oxyanion coated substrates
US6756119B1 (en) 2003-04-07 2004-06-29 Ensci Inc Thin film metal oxyanion coated substrates
US6811881B1 (en) 2003-04-07 2004-11-02 Ensci Inc. Metal oxyanion coated nano substrates
US6783856B1 (en) * 2003-04-07 2004-08-31 Ensci Inc Metal oxyanion coated porous substrates
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20060019502A1 (en) * 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US20050238816A1 (en) * 2004-04-23 2005-10-27 Li Hou Method and apparatus of depositing low temperature inorganic films on plastic substrates
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20090255759A1 (en) * 2008-04-10 2009-10-15 Barnes Gregory K Ladder stabilizing and standoff system
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7910494B2 (en) * 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7988875B2 (en) * 2007-02-08 2011-08-02 Applied Materials, Inc. Differential etch rate control of layers deposited by chemical vapor deposition
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
CN104241070A (zh) * 2013-06-24 2014-12-24 中微半导体设备(上海)有限公司 用于感应耦合等离子体腔室的气体注入装置
KR101730480B1 (ko) * 2014-02-24 2017-04-26 가톨릭관동대학교산학협력단 스마트 체결수단을 구비한 이중발판 구조물
KR102236381B1 (ko) * 2014-07-18 2021-04-06 삼성디스플레이 주식회사 유기 발광 표시 장치 및 유기 발광 표시 장치의 제조 방법
US11427731B2 (en) * 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film
US20230265562A1 (en) * 2022-02-22 2023-08-24 Applied Materials, Inc. Stable silicon oxynitride layers and processes of making them
KR102649039B1 (ko) * 2022-03-25 2024-03-18 오준승 자재 인양 개구부의 안전다리
CN115745417A (zh) * 2022-11-08 2023-03-07 福建华佳彩有限公司 一种使用在铟镓锌氧化物上的氮氧化硅成膜方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6010644A (ja) * 1983-06-30 1985-01-19 Toshiba Corp 半導体装置の製造方法
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4717631A (en) * 1986-01-16 1988-01-05 Rca Corporation Silicon oxynitride passivated semiconductor body and method of making same
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5164339A (en) * 1988-09-30 1992-11-17 Siemens-Bendix Automotive Electronics L.P. Fabrication of oxynitride frontside microstructures
EP0456199B1 (en) * 1990-05-11 1997-08-27 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
JP3139031B2 (ja) * 1991-02-21 2001-02-26 日本板硝子株式会社 熱線遮蔽ガラス
EP0518544B1 (en) * 1991-06-10 2000-08-30 AT&T Corp. Anisotropic deposition of dielectrics

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960581A (en) * 1988-03-16 1990-10-02 Mitsui Toatsu Chemicals, Inc. Method for preparing gaseous metallic fluoride
JP2003527738A (ja) * 1998-07-13 2003-09-16 エーケーティー株式会社 基板ハンドリングチャンバ内の基板支持体の加熱
JP2013527319A (ja) * 2010-04-28 2013-06-27 アプライド マテリアルズ インコーポレイテッド 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
JP2012150062A (ja) * 2011-01-21 2012-08-09 Secure Design Solutions Inc 静電気容量検知型指紋読取りセンサ
KR20180080704A (ko) * 2014-04-21 2018-07-12 도쿄엘렉트론가부시키가이샤 밀봉막의 형성 방법

Also Published As

Publication number Publication date
DE69433836D1 (de) 2004-07-15
JP3135198B2 (ja) 2001-02-13
EP0661732B1 (en) 2004-06-09
US6207304B1 (en) 2001-03-27
US5928732A (en) 1999-07-27
EP0661732A2 (en) 1995-07-05
EP0661732A3 (en) 1996-08-14

Similar Documents

Publication Publication Date Title
JP3135198B2 (ja) プラズマ励起cvdによるシリコンオキシナイトライド膜の堆積方法
JP3725100B2 (ja) 成膜方法
KR100215376B1 (ko) 표면감수성이감소된오존/테트라에톡시실란산화규소막의증착방법
KR100720778B1 (ko) 실리콘질화막을 형성하는 방법 및 장치
JP3529989B2 (ja) 成膜方法及び半導体装置の製造方法
KR100257302B1 (ko) 성막방법
US20050255712A1 (en) Method of cvd for forming silicon nitride film on substrate
US20160376699A1 (en) Substrate processing apparatus, and storage medium
TW202041105A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
JP3649650B2 (ja) 基板エッチング方法、半導体装置製造方法
JPH0766186A (ja) 誘電体の異方性堆積法
US20010012701A1 (en) Method of forming a silicon nitride thin film
JPH07201738A (ja) 薄膜形成前処理方法および薄膜形成方法
JP3230185B2 (ja) 均一誘電層の沈積法
JPH0574763A (ja) ゲート絶縁膜の形成方法
JPH10125669A (ja) プラズマcvd装置及び酸化膜の成膜方法
JPH09186149A (ja) 半導体製造装置のクリーニング方法及び半導体装置の製造方法
JP2000332012A (ja) シリコン窒化膜の成膜方法
WO2020053996A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JPH06342786A (ja) 絶縁膜の形成方法および減圧cvd装置
US20020182865A1 (en) Plasma processing apparatus and method for forming thin films using the same
TW202219303A (zh) 薄膜沉積製程
JPH07335643A (ja) 成膜方法
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法
JPH06181205A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20001023

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees