JPH11233621A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH11233621A
JPH11233621A JP10033204A JP3320498A JPH11233621A JP H11233621 A JPH11233621 A JP H11233621A JP 10033204 A JP10033204 A JP 10033204A JP 3320498 A JP3320498 A JP 3320498A JP H11233621 A JPH11233621 A JP H11233621A
Authority
JP
Japan
Prior art keywords
insulating film
film
conductor
interlayer insulating
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10033204A
Other languages
English (en)
Inventor
Yoshifumi Takada
佳史 高田
Yuichi Sakai
裕一 坂井
Hiroyuki Chibahara
宏幸 千葉原
Masanobu Iwasaki
正修 岩崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP10033204A priority Critical patent/JPH11233621A/ja
Priority to US09/122,650 priority patent/US6278187B1/en
Priority to TW087115469A priority patent/TW407343B/zh
Priority to KR10-1998-0041271A priority patent/KR100366744B1/ko
Priority to CNB981208266A priority patent/CN1149672C/zh
Priority to DE19845858A priority patent/DE19845858A1/de
Publication of JPH11233621A publication Critical patent/JPH11233621A/ja
Priority to US09/903,760 priority patent/US6727170B2/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】 【課題】 半導体デバイスにおいて、層間絶縁膜の中の
配線層が近傍を通る垂直な導電体プラグと短絡すること
をなくす。 【解決手段】 半導体基板の上の絶縁膜に開口を形成
し、この開口を埋めるように絶縁膜の上に導電体膜を形
成する。この導電体膜を、先ず化学的エッチングにより
除去し開口を埋めた導電体膜により導電体プラグを形成
する。しかる後に、絶縁膜の表面を化学機械的に研磨し
て導電体プラグの表面と同一の平坦な表面を形成する。
その上に薄い絶縁膜を介して配線パターンを形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、半導体装置及び
その製造方法に関するものであり、さらに詳しくは、半
導体基板上に形成された絶縁膜に開口を形成し、開口内
部に導電体を充填して電極を形成した半導体集積回路装
置及びその製造方法に関するものである。
【0002】
【従来の技術】半導体集積回路の高集積化にともなっ
て、内部配線の線幅や接続口のサイズは縮小する。写真
製版技術によって微細なレジストパターンを精度良く形
成するために、層間絶縁膜表面の段差を平坦化するとと
もに、小さな開口径を有する接続口の内部に導電体を充
填し金属プラグを形成するなどの工夫がなされている。
【0003】層間絶縁膜に形成した接続口の内部に導電
体を充填する方法として、導電体をウェーハ全面に形成
したのち異方性エッチングによりエッチバックを施す方
法が従来より広く用いられてきた。この製造方法を、図
15〜19を用いて説明する。先ず、図15を参照し
て、半導体基板1上に、素子分利用の酸化膜2、トラン
ジスタのゲート電極3とソース・ドレイン領域4、さら
に第1の層間絶縁膜5を形成する。
【0004】第1の層間絶縁膜5にはソース・ドレイン
領域4に貫通する第1の接続口6を形成する。第1の層
間絶縁膜5は、トランジスタのゲート電極3間を電気的
に絶縁するとともに、ウェーハ表面の段差を平坦化する
という役割も有する。即ち、第1の接続口6の形成や第
1の層間絶縁膜5上に第1の配線層(後述)を形成する
際に、写真製版処理により精度良くレジストパターンを
形成するためには、第1の層間絶縁膜5によりウェーハ
表面を平坦化し十分な焦点深度を確保することが極めて
重要である。
【0005】次に、図16を参照して、第1の接続口6
の内部に充填する導電体膜(図示せず)を全面に形成す
る。微細な開口径を有する接続口6の内部に導電体をボ
イドなく埋め込むために、通常はCVD法が用いられ
る。導電体膜の材料としては、多結晶Siやアモルファ
スSi、あるいはWやTiN、TiSiなどの高融点金
属やその化合物等が用いられることが多い。
【0006】渣続いて、全面に異方性エッチングを施
し、第1の層間絶縁膜5の表面上の導電体膜を除去す
る。これによって、第1の接続口6内部にのみ第1の導
電体プラグ8が形成される。この際、導電体膜の膜厚均
一性やエッチバック処理のウェーハ面内の均一性を考慮
して、通常は導電体膜の膜厚以上にエッチング処理を施
し、第1の層間絶縁膜5表面には導電体膜が残存しない
ようにする。
【0007】エッチング処理が十分でない場合には、図
16のように導電体膜の一部(エッチング残渣(ざん
さ))77がウェーハ表面に残存し、この上に第1の配
線層(後述)を形成した際に配線間の電気的な短絡によ
る不良を発生する。このため、通常は成膜された膜厚以
上にエッチング処理をおこない、図17に示すようにウ
ェーハ1a表面にエッチング残渣が残存しないようにエ
ッチバック処理がなされる。
【0008】このように、層間絶縁膜5表面に形成した
導電体膜を異方性エッチング処理によってエッチバック
を行ない接続口6内部に導電体プラグ8を形成する従来
の方法では、ウェーハ1a表面にエッチング残渣が発生
しないようにオーバエッチング処理を施すために、仕上
がった第1の導電体プラグ8の表面は、図17の符号D
に示すように、第1の層間絶縁膜5の表面よりも通常数
100Åから数1000Å程度落ち込んだ形状になる。
【0009】次に、図18を参照して、第1の層間絶縁
膜5と第1の導電体プラグ8の表面を覆うように第2の
層間絶縁膜9を薄く形成した後、第1の配線層10を形
成する。第2の層間絶縁膜9は、第1の配線層10をエ
ッチング処理によって形成する際に導電体プラグ表面を
エッチングガスから保護する役割を有する。
【0010】さらに、半導体集積回路装置の高集積化を
図るために、近年では第1配線層10の間隔の縮小と同
時に、第1の配線層10と第1の接続口6との間隔も小
さくなり、写真製版時に重ね合せズレが発生した場合に
は、第1の配線層10の一部分が第1の導電体プラグ8
の上部に重なるように形成される場合がある。このよう
な場合には、第2の層間絶縁膜9は、電気的に短絡して
はならない第1の配線層10と第1の導電体プラグ8と
の電気的ショートを防止する役割も有する。
【0011】ところが、第1の導電体プラグ8表面の落
ち込み(D)が数100Å以上の場合、第2の層間絶縁膜
9を形成した後にも導電体プラグ8上にほぼ同程度の落
ち込みが生じ、第1の配線層10をエッチングにより形
成した際の残渣11が落ち込み部分に発生する。
【0012】次に、図19を参照して、この第1の配線
層10をエッチングした際に第1の接続口6の内部に発
生する残渣11は、同様に第3の層間絶縁膜12を貫通
し第1の導電体プラグ8の表面に至る第2の接続口13
内部を第2の導電体プラグ14により充填する際に、第
1の配線層10と第2の導電体プラグ14の電気的な短
絡という不良を発生させる。
【0013】
【発明が解決しようとする課題】図20及び図21は、
このような従来の半導体集積回路装置における問題点を
説明するための図であり、図20は第1の配線層10を
写真製版処理と異方性エッチングによって形成した後の
状態を示す平面図、図21は図20のA−A断面を示す
断面構造図である。これらは、図18に示す工程に対応
するものである。
【0014】第1の配線層10は、本来は、配線10a
のように形成されべきところが、配線10bや配線10
cでは配線層の一部が導電体プラグ8が形成され表面が
落ち込んだ部分に形成されるために、落ち込み部分の段
差に沿ってエッチング残渣11が残っている。このため
エッチング残渣11は第2の層間絶縁膜によって第1の
導電体プラグ8とは絶縁されているが、残渣11と第1
の配線層10とは電気的に導通している。
【0015】したがって、図19のように第2の導電体
プラグ14を形成した際には、エッチング残渣11を介
して第1の導電体プラグ8および第2の導電体プラグ1
4が第1の配線層10と電気的に短絡し不良をおこす。
【0016】さらに、導電体膜7をエッチバック処理す
る際に通常使用されるフッ素含有のエッチングガスによ
って、図16〜図19に示すように、第1の層間絶縁膜
5の表面には改質層(55)が形成されているため、層
間絶縁膜5の電気的な絶縁特性が劣化し層間絶縁膜5表
面に直接配線層を形成した場合には、配線間の電気的な
短絡不良を引き起こす場合があった。
【0017】さらに、エッチバック処理後に第1の層間
絶縁膜5上に第2の層間絶縁膜9をCVD法により形成
する場合に成膜が均一におこなわれず、ウェーハ面内の
膜厚均一性が劣化する場合がある。膜厚均一性の劣化は
半導体装置の製造歩留りの劣化をきたし、或いは製品の
電気特性に大きなバラツキを生じさせる等の問題点があ
った。
【0018】このような従来の異方性エッチングにより
導電体プラグ8を形成する手法では、エッチバック時の
オーバエッチングの低減はエッチング残渣の発生を誘発
し、一方オーバエッチングの増大は導電体プラグ8の落
ち込みを増長し、後の製造工程において配線と導電体プ
ラグの短絡不良を誘発するという問題点があった。
【0019】図22は、このような問題点に対応しよう
とする、従来の他の製造方法を説明するための図であ
る。図22を参照して、この従来の方法は、層間絶縁膜
5上の導電体膜のみをCMP法(化学機械研磨法)によ
って研磨・除去し、接続口6内部に導電体プラグ8を形
成する方法である。しかし導電体研磨用の研磨剤(スラ
リー)をもちいて導電体の研磨をおこなう場合には、層
間絶縁膜5の表面をまえもって絶縁膜研磨用の研磨剤に
よるCMP法でほぼ完全に平坦化しておく必要がある。
写真製版時の焦点深度が十分に確保できる平坦性であっ
ても、層間絶縁膜5の表面にわずかでも凹凸が残存する
と、導電体研磨用の研磨剤(スラリー)を用いる場合に
は段差の凹部に導電体膜の残渣77が発生するためであ
る。
【0020】これは、通常導電体研磨に用いる研磨剤で
は層間絶縁膜(シリコン酸化膜)5の研磨速度が導電体
の研磨速度に比べて非常に小さく、研磨されにくいこと
に起因する。層間絶縁膜5上の残渣は後の製造工程で配
線層間のショート不良の原因となるため、導電体を導電
体研磨用の研磨剤を用いてCMP法で研磨除去し導電体
プラグを形成する際には、前もって層間絶縁膜をCMP
法によって平坦化することが不可欠であるといえる。
【0021】図23を参照して、第1の層間絶縁膜5を
CMP法によって予め平坦化しておく場合の問題点につ
いて説明する。DRAMやSRAMなどの半導体記憶装
置では記憶素子を形成するメモリアレイ部ではゲート電
極配線3が非常に密集して形成されるのに対して、これ
らの記憶素子を制御する論理演算回路部(ロジック回路
部)では比較的疎である。このため、第1の層間絶縁膜
5をCMP法によって平坦化する際に、ゲート電極3の
疎なロジック回路部では、ゲート電極3の密集するメモ
リアレイ部に比べて研磨が進行しやすく、研磨後の第1
層間絶縁膜5の表面の高さに差(H)が生じる。後の製
造工程で写真製版によって第1の配線層をこの上に形成
する際に、この高さの差(H)だけデフォーカスが発生
し、製造プロセスの余裕度が劣化する。さらに、この方
法では層間絶縁膜5をCMP研磨することに伴って製造
プロセスが複雑となり、研磨分だけは予め層間絶縁膜5
を厚く形成しておく必要があるなど製造コストの上昇を
もきたすという問題点がある。
【0022】一方、導電体膜と層間絶縁膜を同一の研磨
剤を用いて同時に研磨する方法が、特開平9−1862
37号公報に開示されている。しかし、導電体膜や層間
絶縁膜の材料によってはそれぞれの研磨速度のわずかな
違いによってウェーハ表面に導電体膜の残渣が残る。ま
た、残渣が残らないようにするためには、あらかじめ層
間絶縁膜の表面を何らかの手法で十分に平坦化しておく
必要があり、再現性や製造コストの観点で問題がある。
さらに、絶縁膜をCMPするために予め層間絶縁膜を厚
く形成しておく必要があるため、必然的に深い接続口を
異方性エッチングにより開口する必要があり寸法制御性
が難しくなることや製造プロセスコストの上昇などの問
題点がある。
【0023】本発明は、以上のような従来の半導体集積
回路装置及びその製造方法における問題点を解決するた
めになされたもので、配線層と導電体プラグとが短絡す
ることのない半導体装置及びその製造方法を提供しよう
とするものである。また、配線間隔をより小さく設計す
ることが可能で、微細化を促進できる半導体装置及びそ
の製造方法を提供しようとするものである。このため
に、本発明では、層間絶縁膜に接続口を開口した後、ウ
ェーハ全面に導電体膜を形成し、異方性エッチングによ
り接続口内部に導電体プラグを形成した後、導電体プラ
グの層間絶縁膜表面からの落ち込み分だけ層間絶縁膜を
CMP法により研磨するようにした半導体装置の製造方
法を提供しようとするものである。
【0024】
【課題を解決するための手段】この発明の半導体装置
は、半導体基板の上に形成され表面が平坦化された第1
の絶縁膜と、この第1の絶縁膜に設けられた開口を埋め
て形成されこの第1の絶縁膜の表面と同一の高さの表面
を有する導電体プラグと、上記第1の絶縁膜と導電体プ
ラグとの表面に形成された第2の絶縁膜と、この第2の
絶縁膜の表面に形成された配線パターンと、上記第2の
絶縁膜の表面に上記配線パターンを覆うように形成され
た第3の絶縁膜と、上記第3の絶縁膜と第2の絶縁膜と
を貫く開口を埋めるように形成され上記導電体プラグと
電気的に接続する接続導電体を備えたことを特徴とする
ものである。
【0025】また、この発明の半導体装置は、上記接続
導電体が上記第3の絶縁膜の上で拡大された径を有し、
電荷蓄積用電極として形成されたことを特徴とするもの
である。
【0026】また、この発明の半導体装置は、半導体基
板の上の第1の領域と第2の領域とに連続して同じ高さ
に形成され少なくとも上記第1の領域においてその表面
が平坦化された第1の絶縁膜と、少なくとも上記第1の
領域において上記第1の絶縁膜に設けられた開口を埋め
て形成され上記第1の絶縁膜の表面と同一の高さの表面
を有する複数の導電体プラグと、上記第1の領域と第2
の領域とに連続して上記第1の絶縁膜と導電体プラグと
の表面に形成された第2の絶縁膜と、少なくとも上記第
1の領域において上記第2の絶縁膜の表面に形成された
配線パターンと、上記第2の絶縁膜の表面に上記配線パ
ターンを覆うように形成された第3の絶縁膜と、少なく
とも上記第1の領域において上記第3の絶縁膜と第2の
絶縁膜とを貫く開口を埋めるように形成され上記導電体
プラグと電気的に接続する接続導電体を備えたことを特
徴とするものである。
【0027】また、この発明の半導体装置は、上記第1
の領域をメモリアレイ部とし、上記第2の領域をロジッ
ク回路部とし、上記接続導電体が上記第3の絶縁膜の上
で拡大された径を有し、電荷蓄積用電極として形成され
たことを特徴とするものである。
【0028】また、この発明の半導体装置は、上記第1
の絶縁膜として少なくとも燐を含有するシリコン酸化膜
を用いたことを特徴とするものである。
【0029】また、この発明の半導体装置は、上記導電
体膜として多結晶シリコン又はアモルファスシリコンを
用いたことを特徴とするものである。
【0030】また、この発明の半導体装置の製造方法
は、半導体基板の上に第1の絶縁膜を形成しこの絶縁膜
に開口を形成する工程と、上記第1の絶縁膜の上に上記
開口を埋めるように導電体膜を形成する工程と、上記第
1の絶縁膜の上の導電体膜を化学的エッチングにより除
去し上記開口を埋めた導電体膜により導電体プラグを形
成する工程と、導電体膜が除去された少なくとも上記第
1の絶縁膜の表面を化学機械的研磨により研磨して上記
導電体プラグの表面と同一の平坦な表面を形成する工程
とを含むことを特徴とするものである。
【0031】また、この発明の半導体装置の製造方法
は、上記導電体プラグが形成された上記絶縁膜の上に第
2の絶縁膜を形成する工程と、この第2の絶縁膜の上に
配線パターンを形成する工程と、上記第2の絶縁膜の上
に上記配線パターンを覆うように第3の絶縁膜を形成す
る工程と、上記第3の絶縁膜と上記第2の絶縁膜を貫き
上記導電体プラグに至る開口を形成しこの開口に上記導
電体プラグと電気的に接続する接続導電体を形成する工
程とを含むことを特徴とするものである。
【0032】また、この発明の半導体装置の製造方法
は、上記第1の絶縁膜を少なくとも燐を含有するシリコ
ン酸化膜により形成することを特徴とするものである。
【0033】また、この発明の半導体装置の製造方法
は、上記導電体膜を多結晶シリコン又はアモルファスシ
リコンにより形成することを特徴とするものである。
【0034】また、この発明の半導体装置の製造方法
は、上記のいずれかに記載の製造方法により製造された
ことを特徴とするものである。
【0035】
【発明の実施の形態】以下、図面を参照して、本発明の
実施の形態について説明する。なお、図中、同一の符号
はそれぞれ同一または相当する部分を示す。 実施の形態1.図1及び図2は、それぞれ本実施の形態
1による半導体集積回路装置の断面構造を示す図であ
る。先ず、図1の構造について説明する。図1に示す半
導体集積回路装置において、1は半導体基板、2は素子
分離用酸化膜、3はトランジスタのゲート電極、4はト
ランジスタのソース・ドレイン領域、5は半導体基板1
の上に形成された第1の層間絶縁膜、6は第1の層間絶
縁膜5を貫通しトランジスタのソース・ドレイン領域4
に至る第1の接続口、8は接続口6内部に形成された第
1の導電体プラグを示す。
【0036】また、9は第2の層間絶縁膜、10は第2
の層間絶縁膜9の上に形成された配線パターン(以下、
第1の配線層とも称する)、12は第2の層間絶縁膜9
の上に配線パターン10を覆うように形成された第3の
層間絶縁膜、13は第3の層間絶縁膜12を貫通し第1
の導電体プラグ8の表面に至る第2の接続口(開口)、
14は第2の接続口13に形成された第2の導電体プラ
グを示す。
【0037】第1の層間絶縁膜5としては通常シリコン
酸化膜が用いられる。また、B(ボロン)やP(リン)
などの不純物を膜中に含有するシリコン酸化膜が用いら
れることもある。あるいは、シリコン窒化膜の上にこれ
らの不純物を含有するシリコン酸化膜を積層した積層膜
が用いられる場合がある。第2あるいは第3の層間絶縁
膜9、12についても、シリコン酸化膜、あるいは、B
(ボロン)やP(リン)などの不純物を膜中に含有する
シリコン酸化膜が用いられることもある。導電体プラグ
8あるいは導電体プラグ14の材料としては、例えば多
結晶シリコン、アモルファスシリコン、あるいはソース
・ドレイン領域4と同型の不純物を含有する多結晶シリ
コンもしくはアモルファスシリコン、あるいはW,T
i,TiN,Pt等の高融点金属材料、もしくはWS
i,TiSi,PtSi等の高融点金属珪化物等が用い
られる。
【0038】次に、図2の半導体集積回路装置の構造に
ついて説明する。図2に示す半導体集積回路装置におい
て、12は第3の層間絶縁膜であり、シリコン窒化膜1
21とシリコン酸化膜122との積層膜により形成され
ている。シリコン酸化膜122には、B(ボロン)やP
(リン)などの不純物を膜中に含有するシリコン酸化膜
が用いられることもある。
【0039】13は、第3の層間絶縁膜12を貫通し第
1の導電体プラグ8の表面に至る第2の接続口(開口)
であり、開口位置にずれが生じても、第1の配線層10
はシリコン窒化膜121により被覆され露出しない。1
4は第2の接続口13に形成された第2の導電体プラグ
を示す。その他の構成は、図1と同様であるから、重複
した説明は省略する。
【0040】図1及び図2に示したこの実施の形態によ
る半導体集積回路装置の構造の特徴は、第1の導電体プ
ラグ8表面と第1の層間絶縁膜5表面との高さが揃えら
れていることである。すなわち、従来の技術で問題とな
った導電体プラグ8の表面での落ち込みがない。
【0041】このように、本実施の形態によれば、第1
の層間絶縁膜5と第1の導電体プラグ8の表面は段差無
く平坦に形成されているため、第1の層間絶縁膜5の表
面、特に導電体プラグ8を覆う部分の表面には、第1の
配線層10を異方性エッチングによって形成した際のエ
ッチング残渣が残っていない。したがって、写真製版時
の重ね合わせズレによって第1の配線層10が第1の接
続口6の上部に形成されても、第2の導電体プラグ14
が第1の配線層10と電気的に短絡する不良は生じな
い。したがって、より配線間隔を小さく設計することが
可能となり、電気的な不良を発生すること無く半導体集
積回路装置の微細化を実現することが可能である。
【0042】なお、この実施の形態において、第1の層
間絶縁膜5の表面と第1の導電体プラグ8の表面とを実
質的に同じ高さに形成されている、あるいは、平坦化さ
れているということは、第1の導電体プラグ8の表面の
落ち込みにエッチング残渣が残らないようなレベルの平
坦化を意味する。理想的には完全な同一平面であること
であるが、仮に数10Å程度の落ち込みがあっても問題
にはならない。
【0043】実施の形態2.次に、本発明の実施の形態
2として、図1あるいは図2に示した構造の半導体集積
回路装置を得るに至る製造方法について説明する。先
ず、図3〜図8は、図1の半導体集積回路装置の製造方
法を工程にしたがって示す図である。図3を参照して、
半導体基板1の表面に、素子分離用酸化膜2、トランジ
スタのゲート電極3とソース・ドレイン領域4、第1の
層間絶縁膜5を形成する。以下、半導体基板1又はその
上に各種の処理・形成がおこなわれていくものを総称し
てウェーハ1aと称する。
【0044】第1の層間絶縁膜5としては通常シリコン
酸化膜を用いる。隣接する2つのゲート電極3間をボイ
ドなしに埋め込むために、B(ボロン)やP(リン)な
どの不純物を膜中に含有するシリコン酸化膜を用いるこ
ともある。あるいは、これらの不純物を含有するシリコ
ン酸化膜とシリコン窒化膜との積層膜を用いる場合があ
る。
【0045】特に、ゲート電極3によって生じる段差を
利用して隣接するゲート電極3間に自己整合的に接続口
(後述)を開口する場合には、接続口を異方性エッチン
グによって開口する際の寸法や形状の制御性の観点から
シリコン窒化膜上に少なくともP(リン)を含有するシ
リコン酸化膜が用いられる場合が多い。
【0046】続いてウェーハ1a表面の平坦性を改善す
るために、通常は800℃〜850℃程度の熱処理を行なう。
さらに、写真製版処理と異方性エッチング処理を施すこ
とによって、第1の層間絶縁膜5にトランジスタのソー
ス・ドレイン領域4に貫通する第1の接続口6(開口)
を形成する。次に、一旦ウェーハ1aを希沸酸溶液に曝
すことによって、接続口6の底部のシリコン酸化膜を除
去する。
【0047】次に、図4を参照して、第1の層間絶縁膜
5の表面と接続口6の内部にCVD法などによって導電
体プラグ(後述)を形成するための導電体膜7を形成す
る。導電体膜7の材料としては、例えば多結晶シリコ
ン、アモルファスシリコン、あるいはソース・ドレイン
領域4と同型の不純物を含有する多結晶シリコンもしく
はアモルファスシリコン、あるいはW,Ti,TiN,
Pt等の高融点金属材料、もしくはWSi,TiSi,
PtSi等の高融点金属珪化物等を用いる。
【0048】導電体膜7は、接続口6の内部にボイドを
形成しないために接続口の半径と同程度以上の膜厚で形
成される。膜厚を必要以上に厚く形成した場合には、膜
厚バラツキを考慮してその後のエッチバック処理時のオ
ーバエッチング時間を大きくとる必要があるため、導電
体プラグのリセスが大きくなる。したがって、導電体膜
7は、接続口内部にボイドを発生させないために必要な
膜厚以上でできる限り薄く形成することが望ましい。
【0049】次に、図5を参照して、ウェーハ1aに対
して、CF4、SF6、Cl2、SiCl4などのフッ素或いは塩素含
有のエッチングガスを用いる異方性エッチング処理を行
ない、第1の層間絶縁膜5表面の導電体膜7を除去し、
接続口6内部に第1の導電体プラグ8を形成する。
【0050】この際、導電体膜7の膜厚均一性やエッチ
バック処理のウェーハ1a面内の均一性を考慮して、通
常は第1の導電体膜7の膜厚以上にエッチング処理を施
し、第1の層間絶縁膜5表面の段差部にも導電体膜7が
残存しないようにする。これによって、第1の導電体プ
ラグ8の表面は第1の層間絶縁膜5の表面よりも通常数
100Å〜数1000Å程度落ち込んだ形状になる。同時に、
第1の層間絶縁膜5の表面にはフッ素を含有するエッチ
ングガスにより表面改質層55が形成され、電気的な絶
縁特性が劣化する。
【0051】次に、図6を参照して、シリコン酸化膜研
磨用の研磨剤を用いて第1の層間絶縁膜5表面をCMP
法によって研磨し、表面に形成された改質層55を除去
すると同時に、第1の導電体プラグ8表面と第1の層間
絶縁膜5表面との高さを揃える。シリコン酸化膜研磨用
の研磨材としては、例えばコロイドシリカを含有するも
の、あるいは酸化セリウムを含有するものなどが望まし
い。このように、第1の層間絶縁膜5の表面を数100Å
〜数1000Å程度研磨することによって第1の導電体プラ
グ8の表面との間に生じる落ち込みを解消する。ここ
で、第1の層間絶縁膜5の表面と第1の導電体プラグ8
の表面とを実質的に同じ高さに形成する、あるいは、平
坦にするということは、第1の導電体プラグ8の表面の
落ち込みにエッチング残渣が残らないようなレベルの平
坦化を意味する。理想的には完全な同一平面であること
であるが、仮に数10Å程度の落ち込みがあっても問題
にはならない。
【0052】さて、CMP法による研磨は、表面の落ち
込み量のウェーハ1a面内のばらつきを考慮して実際の
落ち込み量よりも多めに研磨することがあっても、そも
そもが数100Å〜数1000Åを狙った研磨であるため、研
磨処理に要する処理時間は短く、したがって製造コスト
の上昇も小さい。
【0053】また、本願発明者の実験によると、導電体
膜7として多結晶シリコンあるいはアモルファスシリコ
ン膜を用いる場合、コロイド状シリカを含有するシリコ
ン酸化膜研磨用の研磨剤を用いてもシリコン酸化膜の研
磨速度の数%〜数10%程度の研磨速度で多結晶シリコンあ
るいはアモルファスシリコン膜を研磨することが可能で
あることがわかった。即ち、研磨処理時間が長めになっ
ても第1の導電体プラグ8が第1の層間絶縁膜5表面か
ら落ち込んだり逆に突出した形状になることはなく、第
1の層間絶縁膜5表面との間に段差が生じることはない
ことが確かめられた。
【0054】さらに、第1の層間絶縁膜5をCMP研磨
することによって導電体プラグ8の落ち込みが解消する
と同時に層間絶縁膜5の表面段差が軽減されるために、
第1の配線層形成(後述)のために、後に写真製版によ
りレジストパターンを形成する際の製造プロセスの余裕
度がひろくなる。
【0055】次に、図7を参照して、第1の層間絶縁膜
5の表面と第1の導電体プラグ8の表面に、第2の層間
絶縁膜9を薄く形成する。続いて第1の配線層形成用の
導電体膜を成膜し、写真製版処理と異方性エッチング処
理を行なうことによって第1の配線層10を形成する。
第1の導電体プラグ8は表面の落ち込みがなく平坦に形
成されているために、異方性エッチングによって第1の
配線層10を形成する際にエッチング残渣が導電体プラ
グ8の部分に生じることはない。
【0056】さらに、第1の配線層10と第2の層間絶
縁膜9を覆って第3の層間絶縁膜12を形成する。第3
の層間絶縁膜12としては第1の層間絶縁膜5と同様に
通常シリコン酸化膜を用いる。隣接する2つの第1の配
線層10間をボイドなしに埋め込むために、B(ボロ
ン)やP(リン)などの不純物を膜中に含有するシリコ
ン酸化膜が用いることもある。次に、ウェーハ1a表面
の平坦性を改善するために、通常は800℃〜850℃程度の
熱処理を行なう。
【0057】次に、図8を参照して、写真製版処理と異
方性エッチング処理を施すことによって、第3の層間絶
縁膜12と第2の層間絶縁膜9とを貫通し第1の導電体
プラグ8の表面に至る第2の接続口13(開口)をす
る。続いて、ウェーハ1a表面を希フッ酸溶液あるいは
希過酸化水素溶液にさらして第2の接続口13の底部に
露出する第1の導電体プラグ8表面の自然酸化膜を除去
する。
【0058】次に、図1を参照して、第2の導電体プラ
グ14を形成するための導電膜を形成し、第3の層間絶
縁膜12上の導電体膜を除去して、第2の接続口13を
埋め込んだ第2の導電体プラグ14(接続導体)を形成
する。これによって、第2の導電体プラグ14は第1の
導電体プラグ8と電気的につながり、図1に示す断面構
造の半導体集積回路装置を得る。
【0059】次に、図9〜図10は、図2の半導体集積
回路装置の製造方法の工程の一部を示す図である。図2
の半導体集積回路装置の製造方法が、先に説明した図1
の半導体集積回路装置の製造方法と異なる点は、図9に
示す第3の層間絶縁膜12の形成である。この場合に
は、図9に示すように、第3の層間絶縁膜12として
は、シリコン窒化膜121の上にシリコン酸化膜122
を積層して形成する。シリコン酸化膜122には、B
(ボロン)やP(リン)などの不純物を膜中に含有する
シリコン酸化膜が用いることもある。
【0060】次に、図10を参照して、ウェーハ1a表
面の平坦性を改善するために、通常は800℃〜850℃程度
の熱処理を行なう。さらに、写真製版処理と異方性エッ
チング処理を施すことによって、第3の層間絶縁膜12
を貫通し第1の導電体プラグ8の表面に至る第2の接続
口13(開口)をする。この場合、開口位置にずれが生
じても、第1の配線層10はシリコン窒化膜(121)
により被覆されているので露出しない。
【0061】このように、第1の配線層10によって生
じる段差を利用して自己整合的に接続口を開口する場合
には、接続口を異方性エッチングによって開口する際の
寸法や形状の制御性の観点から、第3の層間絶縁膜12
として、シリコン窒化膜121上に少なくともP(リ
ン)を含有するシリコン酸化膜122を用いることが有
効である。続いて、ウェーハ1a表面を希フッ酸溶液あ
るいは希過酸化水素溶液にさらして第2の接続口13の
底部に露出する第1の導電体プラグ8表面の自然酸化膜
を除去する。
【0062】次に、図2を参照して、第2の導電体プラ
グ14を形成するための導電膜を形成し、第3の層間絶
縁膜12上の導電体膜を除去して、第2の接続口13を
埋め込んだ第2の導電体プラグ14(接続導体)を形成
する。これによって、第2の導電体プラグ14は第1の
導電体プラグ8と電気的につながり、図2に示す断面構
造の半導体集積回路装置を得る。
【0063】以上説明したように、本実施の形態によれ
ば、第1の層間絶縁膜5の表面と第1の導電体プラグ8
の表面とは段差無く平坦に形成されているため、第1の
層間絶縁膜5の表面に第1の配線層10を異方性エッチ
ングによって形成した際のエッチング残渣が残らない。
したがって、写真製版時の重ね合わせズレによって第1
の配線層10が第1の接続口6の上部に形成されても、
第2の導電体プラグ14が第1の配線層10と電気的に
短絡する不良は生じない。これにより、より配線間隔を
小さく設計することが可能となり、電気的な不良を発生
すること無く半導体集積回路装置の微細化を実現するこ
とが可能である。
【0064】さらに本実施の形態によれば、導電体膜7
をエッチバック処理した際に層間絶縁膜5表面に形成さ
れる表面改質層77をCMP法によって除去するため
に、層間絶縁膜の絶縁特性の劣化という問題が発生しな
い。また、配線間の電気的な短絡不良の発生もない。さ
らに、上層にCVD法によって成膜を行なうに際して膜
厚のばらつきや再現性の劣化という不安定性が生じるこ
と無く、安定して成膜を行なうことが可能である。した
がって、半導体装置の製造歩留りの向上、或いは製品の
電気特性の安定化、製造コストの低減が可能となる。
【0065】実施の形態3.図11〜図13は、本発明
の実施の形態3による半導体集積回路装置の製造方法を
製造フローにしたがって示す断面構造図である。この実
施の形態は、先に説明した実施の形態1及び2を、半導
体記憶装置の製造に応用した例を示すものである。図1
1を参照して、半導体基板1の図示左半部の第1の領域
にメモリアレイ部を、右半部の第2の領域にロジック回
路部を形成する。先ず、この半導体基板1上に、素子分
離用酸化膜2、トランジスタのゲート電極3、ソース・
ドレイン領域4を形成する。次に、ゲート電極3を覆っ
て第1の層間絶縁膜5を形成する。
【0066】次に、少なくともメモリセル部において、
層間絶縁膜5を貫通しソース・ドレイン領域4に至る第
1の接続口6(開口)を形成する。接続口6内部には第
1の導電体プラグ8を形成する。導電体プラグ8は異方
性エッチングによるエッチバック処理によって、第1の
層間絶縁膜5表面にはエッチング残渣が残らないように
形成されており、その表面が絶縁膜5表面から落ち込ん
だ形状になっている。
【0067】次に、図12を参照して、第1の層間絶縁
膜5表面をCMP法によって数100Å〜数1000Å研磨
し、第1の層間絶縁膜5表面と第1の導電体プラグ8の
表面の高さが実質的に同一平面になるように平坦化す
る。このときCMPによる研磨膜厚が小さいために、ゲ
ート電極3や第1の導電体プラグ8の密集したメモリア
レイ部とロジック回路部での研磨膜厚の差も小さく、し
たがって従来例(図23)の様な段差(H)が生じるこ
とがない。
【0068】次に、図13を参照して、第1の層間絶縁
膜5の表面と第1の導電体プラグ8の表面に、第2の層
間絶縁膜9を薄く形成する(図面の簡略のために図示は
省略、図7の符号9参照)。続いて第1の配線層形成用
の導電体膜を成膜し、写真製版処理と異方性エッチング
処理を行なうことによって第1の配線層10(配線パタ
ーン)を形成する。さらに第1の配線層10を覆って全
面に第3の層間絶縁膜12を形成する。
【0069】この第3の層間絶縁膜12と第2の層間絶
縁膜9を貫いて第2の接続口13を形成し、この第3の
層間絶縁膜12の上には第2の接続口13の内部を充填
するように第2の導電体プラグ14を形成する。第2の
導電体プラグ14は、第1の導電体プラグ8と電気的に
接続しており、第3の絶縁膜12の上で拡大された径を
有している。
【0070】このようにして形成された半導体集積回路
装置は、半導体記憶装置を構成するものであり、メモリ
セル部においてゲート3及びソース・ドレイン領域など
により形成されるトランジスタはメモリセル用のトラン
ジスタとなり、ゲート電極3はワード線となり、第1の
配線層(配線パターン)10はビット線となり、第2の
導電体プラグ14は半導体記憶装置の電荷蓄積用の電
極、いわゆるストレージノードとしての作用を有する。
【0071】以上のように形成された第1の層間絶縁膜
5の表面は、第1の導電体プラグ8を異方性エッチング
により形成する際に表面に改質層(図示せず)が形成さ
れるが、CMP処理によて表面の数100Å〜数1000Å分
は研磨除去される。したがって本実施の形態による半導
体集積回路装置の製造方法によると、第1の層間絶縁膜
5上に第2の層間絶縁膜9(図示せず。実施の形態2の
図7を参照。)をCVD法により形成するに際して、膜
厚のばらつきなどの成膜特性の不安定の問題は発生しな
い。
【0072】また、第1の層間絶縁膜5と第1の導電体
プラグ8の表面は段差無く平坦に形成されているため、
第1の層間絶縁膜5の表面、特に導電体プラグ8を覆う
部分の表面には、第1の配線層10を異方性エッチング
によって形成した際のエッチング残渣が残っていない。
したがって、写真製版時の重ね合わせズレによって第1
の配線層10が第1の接続口6の上部に形成されても、
第2の導電体プラグ14が第1の配線層10と電気的に
短絡する不良は生じない。したがって、より配線間隔を
小さく設計することが可能となり、電気的な不良を発生
すること無く半導体集積回路装置の微細化を実現するこ
とが可能である。
【0073】また、第1の層間絶縁膜5の表面をCMP
処理によって研磨することによって第1の導電体プラグ
8の落ち込みを解消する際に、メモリアレイ部とロジッ
ク回路部との間に段差がほとんど発生せず、第1の配線
層10を精度よく写真製版処理によって形成することが
できるという利点がある。
【0074】図14は、この実施の形態における半導体
記憶装置の他の構造例を示す断面図である。図14にお
いて、第2の接続口13は、第3の絶縁膜12の中で第
1の配線層10の上にまで広がる拡大した径を有してい
る。この第2の接続口13の内面にそって第2の導電体
プラグ14が筒状に形成されている。その内面には誘電
体膜14が形成され、さらにその内面から第3の絶縁膜
16の上にまで延在するように上部電極16が形成され
ている。第2の導電体プラグ14は下部電極としてスト
レージノードとなり、上部電極16はセルプレートとな
り、誘電体膜15を挟んでメモリセル部の容量を形成し
ている。その他の構造は図13と同様であるから、重複
説明は省略する。半導体記憶装置の容量をこのように形
成することにより、図13の場合と比較して大きな容量
を確保しながら高さの増大を押さえることができる。こ
の場合においても、図11〜図13の例について説明し
たのと同様の効果がある。
【0075】なお、以上の各実施の形態において、第1
の絶縁膜5を半導体基板1の上に形成するとして説明し
た。しかし、これは狭い意味の半導体基板だけを意味し
ない。第1の絶縁膜5をその上に形成するための下地部
材としての意味であり、特に限定されるものではない。
【0076】
【発明の効果】以上説明したように、本発明によれば、
層間絶縁膜の表面と導電体プラグの表面とは段差無く平
坦に形成されているため、層間絶縁膜の表面に配線層を
異方性エッチングによって形成した際のエッチング残渣
が残らない。したがって、写真製版時の重ね合わせズレ
によって配線層が接続口の上部に形成されても、導電体
プラグが配線層10と電気的に短絡する不良は生じな
い。これにより、より配線間隔を小さく設計することが
可能となり、電気的な不良を発生すること無く半導体集
積回路装置の微細化を実現することが可能である。
【0077】さらに本発明によれば、導電体膜をエッチ
バック処理した際に層間絶縁膜表面に形成される表面改
質層をCMP法によって除去するために、層間絶縁膜の
絶縁特性の劣化という問題が発生しない。また、配線間
の電気的な短絡不良の発生もない。さらに、上層にCV
D法によって成膜を行なうに際して膜厚のばらつきや再
現性の劣化という不安定性が生じること無く、安定して
成膜を行なうことが可能である。したがって、半導体装
置の製造歩留りの向上、或いは製品の電気特性の安定
化、製造コストの低減が可能となる。
【図面の簡単な説明】
【図1】 この発明の実施の形態1による半導体集積回
路装置の断面構造図。
【図2】 この発明の実施の形態1による他の半導体集
積回路装置の断面構造図。
【図3】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図4】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図5】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図6】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図7】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図8】 この発明の実施の形態2による半導体集積回
路装置の製造フローを示す断面構造図。
【図9】 この発明の実施の形態2による他の半導体集
積回路装置の製造フローを示す断面構造図。
【図10】 この発明の実施の形態2による他の半導体
集積回路装置の製造フローを示す断面構造図。
【図11】 この発明の実施の形態3による半導体集積
回路装置の製造フローを示す断面構造図。
【図12】 この発明の実施の形態3による半導体集積
回路装置の製造フローを示す断面構造図。
【図13】 この発明の実施の形態3による半導体集積
回路装置の製造フローを示す断面構造図。
【図14】 この発明の実施の形態3による他の半導体
集積回路装置の構造を示す断面構造図。
【図15】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図16】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図17】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図18】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図19】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図20】 従来の半導体集積回路装置の製造フローを
工程にそって示した断面構造図。
【図21】 従来の半導体集積回路装置の問題点を説明
するための断構造面図。
【図22】 従来の半導体集積回路装置の問題点を説明
するための断構造面図。
【図23】 従来の半導体集積回路装置の問題点を説明
するための断構造面図。
【符号の説明】
1 半導体基板、 2 素子分離酸化膜、 3 ゲート
電極、 4 ソース・ドレイン領域、 5 第1の絶縁
膜、 6 第1の接続口(開口)、 7 導電体膜、
8 第1の導電体プラグ、 9 第2の絶縁膜、 10
第1の配線層(配線パターン)、 12 第3の絶縁
膜、 13 第2の接続口(開口)、14 第2の導電
体プラグ(接続導電体)55 改質層、 77 導電体
膜残渣。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 岩崎 正修 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板の上に形成され表面が平坦化
    された第1の絶縁膜と、この第1の絶縁膜に設けられた
    開口を埋めて形成されこの第1の絶縁膜の表面と同一の
    高さの表面を有する導電体プラグと、上記第1の絶縁膜
    と導電体プラグとの表面に形成された第2の絶縁膜と、
    この第2の絶縁膜の表面に形成された配線パターンと、
    上記第2の絶縁膜の表面に上記配線パターンを覆うよう
    に形成された第3の絶縁膜と、上記第3の絶縁膜と第2
    の絶縁膜とを貫く開口を埋めるように形成され上記導電
    体プラグと電気的に接続する接続導電体を備えたことを
    特徴とする半導体装置。
  2. 【請求項2】 上記接続導電体が上記第3の絶縁膜の上
    で拡大された径を有し、電荷蓄積用電極として形成され
    たことを特徴とする請求項1に記載の半導体装置。
  3. 【請求項3】 半導体基板の上の第1の領域と第2の領
    域とに連続して同じ高さに形成され少なくとも上記第1
    の領域においてその表面が平坦化された第1の絶縁膜
    と、少なくとも上記第1の領域において上記第1の絶縁
    膜に設けられた開口を埋めて形成され上記第1の絶縁膜
    の表面と同一の高さの表面を有する複数の導電体プラグ
    と、上記第1の領域と第2の領域とに連続して上記第1
    の絶縁膜と導電体プラグとの表面に形成された第2の絶
    縁膜と、少なくとも上記第1の領域において上記第2の
    絶縁膜の表面に形成された配線パターンと、上記第2の
    絶縁膜の表面に上記配線パターンを覆うように形成され
    た第3の絶縁膜と、少なくとも上記第1の領域において
    上記第3の絶縁膜と第2の絶縁膜とを貫く開口を埋める
    ように形成され上記導電体プラグと電気的に接続する接
    続導電体を備えたことを特徴とする半導体装置。
  4. 【請求項4】 上記第1の領域をメモリアレイ部とし、
    上記第2の領域をロジック回路部とし、上記接続導電体
    が上記第3の絶縁膜の上で拡大された径を有し、電荷蓄
    積用電極として形成されたことを特徴とする請求項3に
    記載の半導体装置。
  5. 【請求項5】 上記第1の絶縁膜として少なくとも燐を
    含有するシリコン酸化膜を用いたことを特徴とする請求
    項1〜4のいずれかに記載の半導体装置。
  6. 【請求項6】 上記導電体膜として多結晶シリコン又は
    アモルファスシリコンを用いたことを特徴とする請求項
    1〜5のいずれかに記載の半導体装置。
  7. 【請求項7】 半導体基板の上に第1の絶縁膜を形成し
    この絶縁膜に開口を形成する工程と、上記第1の絶縁膜
    の上に上記開口を埋めるように導電体膜を形成する工程
    と、上記第1の絶縁膜の上の導電体膜を化学的エッチン
    グにより除去し上記開口を埋めた導電体膜により導電体
    プラグを形成する工程と、導電体膜が除去された少なく
    とも上記第1の絶縁膜の表面を化学機械的研磨により研
    磨して上記導電体プラグの表面と同一の平坦な表面を形
    成する工程とを含むことを特徴とする半導体装置の製造
    方法。
  8. 【請求項8】 上記導電体プラグが形成された上記絶縁
    膜の上に第2の絶縁膜を形成する工程と、この第2の絶
    縁膜の上に配線パターンを形成する工程と、上記第2の
    絶縁膜の上に上記配線パターンを覆うように第3の絶縁
    膜を形成する工程と、上記第3の絶縁膜と上記第2の絶
    縁膜を貫き上記導電体プラグに至る開口を形成しこの開
    口に上記導電体プラグと電気的に接続する接続導電体を
    形成する工程とを含むことを特徴とする請求項7に記載
    の半導体装置の製造方法。
  9. 【請求項9】 上記第1の絶縁膜を少なくとも燐を含有
    するシリコン酸化膜により形成することを特徴とする請
    求項7又は8に記載の半導体装置の製造方法。
  10. 【請求項10】 上記導電体膜を多結晶シリコン又はア
    モルファスシリコンにより形成することを特徴とする請
    求項7〜9のいずれかに記載の半導体装置の製造方法。
  11. 【請求項11】 請求項7〜10のいずれかに記載の製
    造方法により製造されたことを特徴とする半導体装置。
JP10033204A 1998-02-16 1998-02-16 半導体装置及びその製造方法 Withdrawn JPH11233621A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP10033204A JPH11233621A (ja) 1998-02-16 1998-02-16 半導体装置及びその製造方法
US09/122,650 US6278187B1 (en) 1998-02-16 1998-07-27 Semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof
TW087115469A TW407343B (en) 1998-02-16 1998-09-17 A semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof
KR10-1998-0041271A KR100366744B1 (ko) 1998-02-16 1998-09-30 반도체장치및그제조방법
CNB981208266A CN1149672C (zh) 1998-02-16 1998-09-30 半导体装置及其制造方法
DE19845858A DE19845858A1 (de) 1998-02-16 1998-10-05 Halbleitervorrichtung und zugehöriges Herstellungsverfahren
US09/903,760 US6727170B2 (en) 1998-02-16 2001-07-13 Semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10033204A JPH11233621A (ja) 1998-02-16 1998-02-16 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JPH11233621A true JPH11233621A (ja) 1999-08-27

Family

ID=12379948

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10033204A Withdrawn JPH11233621A (ja) 1998-02-16 1998-02-16 半導体装置及びその製造方法

Country Status (6)

Country Link
US (1) US6278187B1 (ja)
JP (1) JPH11233621A (ja)
KR (1) KR100366744B1 (ja)
CN (1) CN1149672C (ja)
DE (1) DE19845858A1 (ja)
TW (1) TW407343B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040050517A (ko) * 2002-12-10 2004-06-16 주식회사 하이닉스반도체 반도체소자의 제조방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727170B2 (en) * 1998-02-16 2004-04-27 Renesas Technology Corp. Semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof
KR100267108B1 (ko) * 1998-09-16 2000-10-02 윤종용 다층배선을구비한반도체소자및그제조방법
US6747307B1 (en) * 2000-04-04 2004-06-08 Koninklijke Philips Electronics N.V. Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
US6524912B1 (en) * 2000-08-31 2003-02-25 Micron Technology, Inc. Planarization of metal container structures
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2007087975A (ja) * 2005-09-16 2007-04-05 Ricoh Co Ltd 半導体装置
US10475796B1 (en) * 2018-06-28 2019-11-12 Micron Technology, Inc. Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry
US10461149B1 (en) 2018-06-28 2019-10-29 Micron Technology, Inc. Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6027997A (en) 1994-03-04 2000-02-22 Motorola, Inc. Method for chemical mechanical polishing a semiconductor device using slurry
JP2638546B2 (ja) 1995-02-28 1997-08-06 日本電気株式会社 半導体装置の製造方法
US5726099A (en) 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
US5885899A (en) 1995-11-14 1999-03-23 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium hydroxide slurry
JPH09213698A (ja) 1996-01-31 1997-08-15 Fujitsu Ltd 配線形成方法
CN100388499C (zh) * 1996-03-28 2008-05-14 英特尔公司 具有垂直层叠跨接的存储单元设计
JP3076244B2 (ja) 1996-06-04 2000-08-14 日本電気株式会社 多層配線の研磨方法
US5801093A (en) * 1996-06-13 1998-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Process for creating vias using pillar technology
TW307048B (en) * 1996-11-22 1997-06-01 United Microelectronics Corp High density read only memory structure and manufacturing method thereof
KR100266749B1 (ko) * 1997-06-11 2000-09-15 윤종용 반도체 장치의 콘택 플러그 형성 방법
JPH1167901A (ja) 1997-08-08 1999-03-09 Hitachi Ltd 半導体集積回路装置およびその製造方法
JPH1187651A (ja) 1997-09-08 1999-03-30 Hitachi Ltd 半導体集積回路装置およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040050517A (ko) * 2002-12-10 2004-06-16 주식회사 하이닉스반도체 반도체소자의 제조방법

Also Published As

Publication number Publication date
DE19845858A1 (de) 1999-08-26
TW407343B (en) 2000-10-01
CN1226747A (zh) 1999-08-25
US6278187B1 (en) 2001-08-21
KR19990071404A (ko) 1999-09-27
KR100366744B1 (ko) 2003-02-19
CN1149672C (zh) 2004-05-12

Similar Documents

Publication Publication Date Title
US6693008B1 (en) Method of manufacturing a semiconductor integrated circuit device and a semiconductor integrated circuit device
JPH0982920A (ja) 高集積dramセルの製造方法
JP3953981B2 (ja) 集積回路の製造方法
JP2001339054A (ja) 半導体装置及びその製造方法
US8058734B2 (en) Semiconductor device and method of manufacturing the same
JP2005079576A (ja) 半導体装置及びこれの製造方法
US6680511B2 (en) Integrated circuit devices providing improved short prevention
JPH11233621A (ja) 半導体装置及びその製造方法
KR100195214B1 (ko) 반도체 메모리장치 및 그 제조방법
JP5107499B2 (ja) 半導体装置
US11665888B2 (en) Semiconductor device and method for fabricating the same
US20070020844A1 (en) Method for fabricating bit line of memory device
US6727170B2 (en) Semiconductor device having an improved interlayer conductor connections and a manufacturing method thereof
US7205208B2 (en) Method of manufacturing a semiconductor device
US7084057B2 (en) Bit line contact structure and fabrication method thereof
JP2009164534A (ja) 半導体装置およびその製造方法
JP2000269333A (ja) 半導体装置及びその製造方法
KR100576083B1 (ko) 반도체 장치 및 그 제조방법
KR20010059019A (ko) 반도체소자의 비트라인 형성방법
KR100270961B1 (ko) 반도체 소자 제조방법
JPH10209402A (ja) 半導体素子及びその製造方法
KR20040000016A (ko) 반도체 소자의 콘택 형성 방법
JP2914303B2 (ja) 半導体装置の製造方法
CN114078780A (zh) 半导体结构及其制作方法
TW202336996A (zh) 半導體裝置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050510