JPH09269847A - クロック分配回路およびそのレイアウト設計方法 - Google Patents

クロック分配回路およびそのレイアウト設計方法

Info

Publication number
JPH09269847A
JPH09269847A JP8078548A JP7854896A JPH09269847A JP H09269847 A JPH09269847 A JP H09269847A JP 8078548 A JP8078548 A JP 8078548A JP 7854896 A JP7854896 A JP 7854896A JP H09269847 A JPH09269847 A JP H09269847A
Authority
JP
Japan
Prior art keywords
clock
buffer
buffers
circuit
distribution circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8078548A
Other languages
English (en)
Inventor
Jinichi Tamura
仁一 田村
Hisato Yoshida
久人 吉田
Masaya Sumida
昌哉 炭田
Hiroaki Yamamoto
裕明 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP8078548A priority Critical patent/JPH09269847A/ja
Publication of JPH09269847A publication Critical patent/JPH09269847A/ja
Pending legal-status Critical Current

Links

Abstract

(57)【要約】 【課題】 本発明は、電源電圧や、動作温度、製造プロ
セスの変動に対して、クロックスキューの変動を低く抑
えるためのクロック分配回路を実現することを目的とす
る。 【解決手段】 クロック分配回路を構成する回路ブロッ
ク102、103、104を通じて同じ階層数であり、
それぞれの階層で使用するバッファの駆動能力、入力容
量は、当該階層内で同一の値である。また、各階層を構
成するバッファに接続する次階層のバッファの数を同一
の値にする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、VLSI(超大規
模集積回路)の設計に関し、特に、異なる回路ブロック
に同一クロックを供給するためのクロック分配回路に関
するものであり、各クロックの到着時間のスキューを少
なくするためのクロック分配回路とそのレイアウト設計
方法に関するものである。
【0002】
【従来の技術】従来の技術としては、特公平7−664
00号公報に記載されたものが知られている。
【0003】上記従来技術によれば、クロック分配回路
のレイアウト設計を行う場合、クロック分配回路の上位
レベルに能力固定のバッファを用いて負荷一定になるよ
うにターミネータ(負荷調整用のバッファ)で調整し、
下位レベルは、このターミネータが多くなるのを避ける
ためにバッファの駆動能力を調整して遅延時間一定に
し、負荷が最小にみたないときのみターミネータを挿入
することにより構成されたクロック分配回路である。
【0004】
【発明が解決しようとする課題】上記の従来技術では、
バッファの能力を調整したり、負荷調整にターミネータ
を挿入することにより、クロックスキューの低減を図っ
ている。
【0005】しかしながら、バッファの駆動能力や、タ
ーミネータの負荷は、それぞれ、電源電圧や、動作温
度、製造プロセスに対し異なった依存性を持つため、従
来技術のクロック分配回路では、特定の電源電圧、動作
温度、製造プロセスに対しては、クロックスキューを低
減できるが、電源電圧や、動作温度、製造プロセスの変
動に対してクロックスキューが低い状態を維持すること
は、困難である。
【0006】本発明は、電源電圧や、動作温度、製造プ
ロセスの変動した場合でも、クロックスキューの変動を
低く抑えるためのクロック分配回路を実現することを目
的とする。
【0007】
【課題を解決するための手段】この課題を解決するため
に本発明は、ツリー形式でバッファを接続することによ
りクロック分配回路を構成する場合に、ツリーを構成す
るバッファのうち同じ階層のバッファ特性(駆動能力、
入力容量等)やバッファの個数を、すべての回路ブロッ
ク間で等しくすることを特徴とするものである。
【0008】
【発明の実施の形態】以下、本発明の実施の形態につい
て説明する。
【0009】まず、請求項1に記載のクロック分配回路
は、同一位相のクロック供給を受ける複数の回路ブロッ
クからなるクロック分配回路において、回路ブロックは
ツリー状に縦列接続した複数のバッファを有し、このバ
ッファにより構成されたツリーはすべての回路ブロック
で同じ階層数であり、最終段の階層に属するバッファの
出力はクロック供給対象回路に接続され、バッファの出
力は次階層のバッファの入力に接続されるかあるいはフ
ローティングとなり、またすべての回路ブロックにおい
て同一階層に属するバッファの出力は、フローティング
になっていない場合に限り、同じ個数のバッファ入力に
接続しており、かつすべての回路ブロックにおいて同じ
階層を構成するバッファの入力容量と駆動能力とが同一
であることを特徴とする。
【0010】そして、この構成により、クロック分配回
路をクロック供給先回路(例えばフリップフロップ)か
ら、クロック供給元の方向に遡って見ると、いずれのフ
リップフロップについてのクロック伝搬経路も、相似の
条件、すなわち、クロックが経由するバッファの個数が
同一であり、またそれぞれのバッファの特性、すなわち
駆動能力や入力負荷容量等が同一の値になるので、電源
電圧や、動作温度、製造プロセスが変動した場合でもこ
れらのバッファ特性は同一の値となり、電源電圧や、動
作温度、製造プロセスの変動に対して、クロックスキュ
ーの変動を低く抑えることができる。
【0011】また、請求項2記載のクロック分配回路の
レイアウト設計方法は、クロックタイミングの粗調整を
行った後で微調整を行うレイアウト設計方法において、
粗調整は、請求項1記載のクロック分配回路を構成する
ように回路配置と接続関係を決定するレイアウトステッ
プと、すべてのクロック供給対象回路に供給されるクロ
ックのスキューの大きさを検証する第1のタイミング検
証ステップと、第1のタイミング検証ステップにおいて
得られたクロックスキューの大きさが所定値以上か否か
を判定する第1の判定ステップからなり、第1の判定ス
テップにおいてクロックスキューの大きさが所定値以上
の場合にレイアウトステップと第1のタイミング検証ス
テップを再度実行し、かつ再度のレイアウトステップで
はバッファの特性やバッファの接続関係およびバッファ
の個数を変化させずに、バッファに接続された配線距離
を変更することにより行い、また、第1の判定ステップ
においてクロックスキューの大きさが所定値より小さい
場合に微調整を実行し、微調整は、特定のバッファを異
なる特性のバッファに置き換えるバッファ置き換えステ
ップと、すべてのクロック供給対象回路に供給されるク
ロックのスキューの大きさを検証する第2のタイミング
検証ステップと、第2のタイミング検証ステップにおい
て得られたクロックスキューの大きさが所定値以上か否
かを判定する第2の判定ステップからなり、第2の判定
ステップにおいてクロックスキューの大きさが所定値以
上の場合にバッファ置き換えステップと第2のタイミン
グ検証ステップを再度実行し、また、第2の判定ステッ
プにおいてクロックスキューの大きさが所定値より小さ
い場合に微調整を終了する。
【0012】この設計方法によれば、粗調整において複
数のパラメータを変化させずに配線長のみを変えている
ので、バッファの最適配置を求める場合に収束が早い。
また、その後の微調整において必要最小限の数だけバッ
ファを置き換えてバッファ特性を変えるので、結果とし
て回路全体に使用されているバッファ特性は同一のもの
が多くなり、電源電圧や、動作温度、製造プロセスの変
動に対しても安定なクロックを供給しうるクロック分配
回路を提供できる。
【0013】また、請求項3記載のレイアウト設計方法
は、上記の請求項2記載のレイアウト設計方法におい
て、クロックタイミングの微調整時に行うバッファの置
き換えを以下のように行う。すなわち、クロック分配回
路を構成するバッファの各々の位置に特性の異なる2個
以上のバッファを配置し、これらの2個以上のバッファ
のうちのいずれか1つを選択することによりバッファを
切り換えてクロックタイミングの微調整を行う。
【0014】そして、この方法によりバッファの特性変
更を容易に行えるので、クロック分配回路の各階層ごと
のクロックタイミングの微調整が容易になる。
【0015】以下、本発明の実施の形態について、図1
から図3を用いて説明する。 (実施の形態1)図1は、本発明の一実施の形態におけ
るクロック分配回路の構成を示す。
【0016】クロック分配回路は、回路ブロック10
2、103、104から構成されており、すべての回路
ブロックに共通のクロック101が入力している。な
お、この実施例では、回路ブロック102、103、1
04において、クロック供給対象の回路、すなわちフリ
ップフロップの数は、102が最多、104が最小の場
合を示している。
【0017】回路ブロック102、103、104は複
数個のバッファとフリップフロップおよびこれらの間を
接続する配線から構成されている。回路ブロック10
2、103、104のそれぞれにおいて、クロックは、
クロック分配回路の第1階層105、106、107か
ら、第2階層108、109、110、第3階層11
1、112、113、114、115のバッファを介し
てフリップフロップ群116、117、118に到達す
る。
【0018】ここで、クロック分配回路の階層数は、回
路ブロック102、103、104を通じて共通の階層
数であり、それぞれの階層で使用するバッファの駆動能
力、入力容量は、同じ階層内で同一の値である。
【0019】すなわち、回路ブロック102の第1階層
105のバッファ119の駆動能力、入力容量は、他の
回路ブロック103、104の第1階層106、107
のバッファ120、121の駆動能力、入力容量と同一
であり、また、回路ブロック102の第2階層108の
バッファ122の駆動能力、入力容量は、他の回路ブロ
ック103、104の第2階層109、110のバッフ
ァ123、124の駆動能力、入力容量と同一であり、
さらに、回路ブロック102の第3階層111のバッフ
ァ125の駆動能力、入力容量は、同じ回路ブロック1
02の第3階層112のバッファ126の駆動能力、入
力容量と同一であり、他の回路ブロック103、104
の第3階層113、114、115のバッファ127、
128、129の駆動能力、入力容量と同一である。
【0020】また、各階層を構成するバッファに接続す
る次階層のバッファの数を同一にする。
【0021】すなわち、回路ブロック102、103、
104の第1階層105、106、107のそれぞれを
構成するバッファ119、120、121のひとつあた
りが駆動する第2階層108、109、110に属する
バッファ数は一定である。図1の場合は、第1階層のバ
ッファ1つあたり第2階層のバッファを2個駆動してい
る。
【0022】また、回路ブロック102、103、10
4の第2階層108、109、110のそれぞれのバッ
ファひとつあたりが駆動する第3階層111、112、
113、114、115に属するバッファ数も、一定の
数である。
【0023】このように同じ階層であればそれぞれのバ
ッファひとつあたりが駆動するバッファの数(すなわち
次の階層に属するバッファ数)が等しくなるように設計
している。
【0024】但し、回路ブロックに含まれるフリップフ
ロップの数によっては、図1のバッファ130、131
のようにバッファ自身が、クロック分配回路の終端とな
るものもある。これらの終端となるバッファについて
は、駆動する次段のバッファが存在しないため、上記一
定の数の次段バッファ数をもたない。
【0025】すなわち、バッファの出力がフローティン
グになっていない場合に限り、この同じ階層を構成する
夫々のバッファの出力を受ける次段の階層を構成するバ
ッファの数がいずれも同一であるようにバッファを配置
接続する。
【0026】このように図1のクロック分配回路を、ク
ロック供給対象回路であるフリップフロップから、クロ
ック供給元の方向に遡って見ると、いずれのフリップフ
ロップについてのクロック伝搬経路も、相似の条件、す
なわち、クロックが経由するバッファの数が同一の値で
あり、それぞれのバッファの駆動能力、負荷容量が、同
一の値となるような構成である。
【0027】作用について、本発明と従来技術との差が
わかるように、図3を用いて説明する。
【0028】図3は、本発明と、従来技術のそれぞれの
実施例を、差異を強調して簡略化したものである。30
1は、本発明によるクロック分配回路であり、302
は、同じ回路ブロックに対して従来技術によるクロック
分配回路を適用したものである。303、304は、入
力容量値10フェムト(10の(−15)乗)ファラド
(以下fFという)、ドライブ能力値8.0pS(ピコ
秒)/fFのバッファである。ここで、ドライブ能力値
については、出力の立ち上がり、立ち下がり遅延時間の
1fFあたりの増分である。
【0029】同様に、305、306は、入力容量値2
0fF、ドライブ能力値4.0pS/fFのバッファ、
307、308、309は、入力容量値40fF、ドラ
イブ能力値2.0pS/fFのバッファ、310は、入
力容量値32fF、ドライブ能力値2.5pS/fFの
バッファ、311は、入力容量値8fFのターミネータ
としてのバッファ、312、313、314、315、
316、317、318は、入力容量値80fF、ドラ
イブ能力値1.0pS/fFのバッファ、319、32
0は、入力容量値10fFのフリップフロップ群であ
る。すべてのバッファの固有遅延値は、50pSであ
る。配線容量は、一律240fFである。
【0030】従来技術によるクロック分配回路302で
は、バッファ310のドライブ能力が調整されており、
本発明によるクロック分配回路のバッファ315に相当
するバッファが、省略されており、かわりにターミネー
タとしてのバッファ311が、クロック分配回路に付加
されている。
【0031】バッファ1段の遅延は、スロープ遅延など
を0とすると、 遅延時間=固有遅延+接続遅延=固有遅延+ドライブ能
力×(入力容量+配線容量) であらわされる。
【0032】本発明によるクロック分配回路のクロック
分配元325から、クロック到達先であるフリップフロ
ップの321までの遅延時間を具体的に計算すると、 {50+8.0×(20+240)}+{50+4.0
×(40+40+240)}+{50+2.0×(80
+80+240)}+{50+1.0×(10+10+
10+240)}=2130+1330+850+32
0=4630(pS) であり、クロック分配元325から、フリップフロップ
322までの遅延時間は、 {50+8.0×(20+240)}+{50+4.0
×(40+40+240)}+{50+2.0×(80
+80+240)}+{50+1.0×(10+10+
10+240)}=2130+1330+850+32
0=4630(pS) であり、同じ値である。
【0033】従来技術によるクロック分配回路のクロッ
ク分配元326から、フリップフロップ323までの遅
延時間は、 {50+8.0×(20+240)}+{50+4.0
×(40+20+20+240)}+{50+2.0×
(80+80+240)}+{50+1.0×(10+
10+10+240)}=2130+1330+850
+320=4630(pS) であり、クロック分配元326から、フリップフロップ
324までの遅延時間は、 {50+8.0×(20+240)}+{50+4.0
×(40+32+8+240)}+{50+2.5×
(80+240)}+{50+1.0×(10+10+
10+240)}=2130+1330+850+32
0=4630(pS) であり、同じ値である。
【0034】本発明でも、従来技術でも、同等のクロッ
クスキューを達成できている。これに対し、温度変化等
が原因で回路ブロック中のドライブ能力の値が20%増
加し、入力容量の値が10%減少した場合、本発明によ
るクロック分配回路のクロック分配元325から、クロ
ック到達先であるフリップフロップ321までの遅延時
間を具体的に計算すると、 {50+9.6×(18+240)}+{50+4.8
×(36+36+240)}+{50+2.4×(72
+72+240)}+{50+1.2×(9+9+9+
240)}=2526.8+1574.6+971.6
+370.4=5416.4(pS) であり、クロック分配元325から、フリップフロップ
322までの遅延時間は、 {50+9.6×(18+240)}+{50+4.8
×(36+36+240)}+{50+2.4×(72
+72+240)}+{50+1.2×(9+9+9+
240)}=2526.8+1574.6+971.6
+370.4=5416.4 (pS) であり、同じ値である。
【0035】従来技術によるクロック分配回路のクロッ
ク分配元326から、フリップフロップ323までの遅
延時間は、 {50+9.6×(18+240)}+{50+4.8
×(36+18+18+240)}+{50+2.4×
(72+72+240)}+{50+1.2×(9+9
+9+240)}=2526.8+1574.6+97
1.6+370.4= 5416.4(pS) であり、クロック分配元326から、フリップフロップ
324までの遅延時間は、 {50+9.6×(18+240)}+{50+4.8
×(36+28.8+7.2+240)}+{50+
3.0×(72+240)}+{50+1.2×(9+
9+9+240)}=2526.8+1574.6+9
86+370.4=5430.8(pS) である。
【0036】本発明によるクロック分配回路では、クロ
ックスキューを抑えられたのに対し、従来技術によるク
ロック分配回路では、 5430.8(pS)−5416.4(pS)=14.
4(pS) のクロックスキューが生じることになる。
【0037】このように図1に示した回路は温度変化や
電圧変化等の環境変化に対しても安定なクロックを供給
できる回路である。
【0038】次に図1に示すクロック分配回路を用いた
レイアウト設計方法について説明する。図4はこのレイ
アウト設計方法の手順を示すフローチャートである。同
図に示すようにこのレイアウト設計では、まずクロック
タイミングの粗調整(A)を行い、次に微調整(B)を
行う。
【0039】粗調整(A)は、レイアウトステップ
(a)とタイミング検証ステップ(b)と粗調整終了判
定ステップ(c)からなる。
【0040】レイアウトステップ(a) 図1に示したクロック分配回路の規則に従ってバッファ
やフリップフロップの接続関係を決定し、配置、配線す
る。すなわち、回路ブロックに依存せず、バッファの階
層数は同一とし、また、同一階層のバッファの駆動能力
や入力容量は同じで、かつ、バッファの出力がフローテ
ィングになっていない場合に限り、同じ階層を構成する
夫々のバッファの出力を受ける次段の階層のバッファ数
が同一になるようにバッファを配置接続する。
【0041】ただし、配線長や配置位置については自由
に定める。 タイミング検証ステップ(b) レイアウトステップ(a)で仮決定されたクロック分配
回路について、クロックタイミングを検証する。すなわ
ち、レイアウトステップ(a)で仮決定された回路は、
バッファ間やバッファとフリップフロップの間を接続す
る配線の長さを特に定めていないので、配線容量が異な
ることが原因となり、大きなクロックスキューを生じる
可能性がある。このステップでは、このクロックスキュ
ーの大きさを検証する。
【0042】粗調整終了判定ステップ(c) タイミング検証ステップ(b)で得られたクロックスキ
ューの大きさが、所定値以上か否かを判定する。
【0043】もし、クロックスキューが所定値以上(例
えば0.20nS(ナノ秒)以上)であれば再びレイア
ウトステップ(a)を実行する。このレイアウトステッ
プ(a)では、バッファ間の接続関係や、バッファの駆
動能力および入力容量の値については変えずに、配線長
(すなわち配線容量と配線抵抗)のみを変化させる。
【0044】もし、クロックスキューが所定値以下であ
れば、微調整(B)へと進む。また、この粗調整終了判
定ステップ(c)で次のような判定を行ってもよい。す
なわち、微調整の必要のない程クロックスキューが小さ
い場合(例えば010nS以下)には粗調整でレイアウ
トを最終決定するようにしてもよい。
【0045】微調整(B)はバッファ置き換えステップ
(d)とタイミング検証ステップ(e)と微調整終了判
定ステップ(f)からなる。
【0046】バッファ置き換えステップ(d) 粗調整の終了したクロック分配回路に対して、最小限の
バッファを駆動能力や入力容量の異なるバッファに置き
換えることにより、クロックタイミングの微調整を行
う。ただし、このステップではバッファを置き換えるだ
けで、配線長やバッファどうしの接続関係については変
更しない。
【0047】このバッファ置き換えステップに用いるこ
とのできる回路の一例を説明する。図2は、駆動能力や
入力容量の異なったバッファを隣接させた構造のセル2
06を示す。クロック分配回路を構成するバッファのセ
ルとして、駆動能力や入力容量の異なったバッファ20
1、202、203を隣接させた構造のセル206を適
用することにより、自動配置配線後のクロックタイミン
グの微調整時に配線切り換え部204、205でバッフ
ァの切り換えを容易にした構成である。
【0048】タイミング検証ステップ(e) バッファの置き換えられたクロック分配回路について、
クロックタイミングを検証する。すなわちクロックスキ
ューの大きさを検証する。
【0049】微調整終了判定ステップ(f) タイミング検証ステップ(e)で得られたクロックスキ
ューの大きさが、所定値以上か否かを判定する。
【0050】もし、クロックスキューが所定値以上(例
えば0.11nS以上)であれば再びバッファ置き換え
ステップ(d)を実行する。
【0051】もし、クロックスキューが所定値以下であ
れば、レイアウトを最終決定し、レイアウト設計を終了
する。
【0052】以上のように、このレイアウト設計方法に
よれば、レイアウトステップで変更可能なパラメータを
限定しており、その後にクロックタイミングの微調整を
行うので、何ら限定せずにすべてのパラメータを変更し
ながら最適のレイアウトを求める方法に比べて、最適の
レイアウトに収束するまでの時間が短くなる。従って設
計時間を短縮することができる。
【0053】また、微調整においてバッファの特性(駆
動能力や入力容量)を変更するが、必要最小限の個数に
ついてだけ変更するので、バッファの特性は回路全体で
はほぼ等しくなる。従って、電源電圧や、動作温度、製
造プロセスの変動に対して、クロックスキューの変動
が、低く抑えられるという効果もある。
【0054】
【発明の効果】以上説明したように、本発明によれば、
電源電圧や、動作温度、製造プロセスの変動に対して、
クロックスキューの変動が、低く抑えられるという有利
な効果が得られる。
【図面の簡単な説明】
【図1】本発明のクロック分配回路の構成図
【図2】本発明のバッファ置き換え用セルの構成図
【図3】本発明と従来技術の比較図
【図4】本発明のレイアウト設計方法を示すフローチャ
ート
【符号の説明】
101 各回路ブロックに分配されるクロック 102 回路ブロック 103 回路ブロック 104 回路ブロック 105、106、107 クロック分配回路の第1階層 108、109、110 クロック分配回路の第2階層 111、112、113、114、115 クロック分
配回路の第3階層 116、117、118 フリップフロップ群 119、120、121 第1階層のバッファ 122、123、124 第2階層のバッファ 125、126、127、128、129 第3階層の
バッファ 130、131 クロックの終端となっているバッファ 201、202、203 特性の異なるバッファ 204、205 配線切り換え部 206 バッファを隣接させた構造のセル 301 本発明によるクロック分配回路をもつ回路ブロ
ック 302 従来技術によるクロック分配回路をもつ回路ブ
ロック 303〜318 バッファ 319、320 フリップフロップ群 321、322、323、324 フリップフロップ
フロントページの続き (72)発明者 山本 裕明 大阪府門真市大字門真1006番地 松下電器 産業株式会社内

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】同一位相のクロック供給を受ける複数の回
    路ブロックからなるクロック分配回路において、前記回
    路ブロックはツリー状に縦列接続した複数のバッファを
    有し、前記バッファにより構成されたツリーはすべての
    回路ブロックで同じ階層数であり、最終段の階層に属す
    るバッファの出力はクロック供給対象回路に接続され、
    前記バッファの出力は次階層のバッファの入力に接続さ
    れるかあるいはフローティングとなり、またすべての回
    路ブロックにおいて同一階層に属するバッファの出力
    は、フローティングになっていない場合に限り、同じ個
    数のバッファ入力に接続しており、かつすべての回路ブ
    ロックにおいて同じ階層を構成するバッファの入力容量
    と駆動能力とが同一であることを特徴とするクロック分
    配回路。
  2. 【請求項2】クロックタイミングの粗調整を行った後で
    微調整を行うレイアウト設計方法において、前記粗調整
    は、請求項1記載のクロック分配回路を構成するように
    回路配置と接続関係を決定するレイアウトステップと、
    すべてのクロック供給対象回路に供給されるクロックの
    スキューの大きさを検証する第1のタイミング検証ステ
    ップと、前記第1のタイミング検証ステップにおいて得
    られたクロックスキューの大きさが所定値以上か否かを
    判定する第1の判定ステップからなり、前記第1の判定
    ステップにおいてクロックスキューの大きさが所定値以
    上の場合に前記レイアウトステップと前記第1のタイミ
    ング検証ステップを再度実行し、かつ前記再度のレイア
    ウトステップではバッファの特性やバッファの接続関係
    およびバッファの個数を変化させずに、バッファに接続
    された配線距離を変更することにより行い、また、前記
    第1の判定ステップにおいてクロックスキューの大きさ
    が所定値より小さい場合に前記微調整を実行し、前記微
    調整は、特定のバッファを異なる特性のバッファに置き
    換えるバッファ置き換えステップと、すべてのクロック
    供給対象回路に供給されるクロックのスキューの大きさ
    を検証する第2のタイミング検証ステップと、前記第2
    のタイミング検証ステップにおいて得られたクロックス
    キューの大きさが所定値以上か否かを判定する第2の判
    定ステップからなり、前記第2の判定ステップにおいて
    クロックスキューの大きさが所定値以上の場合に前記バ
    ッファ置き換えステップと前記第2のタイミング検証ス
    テップを再度実行し、また、前記第2の判定ステップに
    おいてクロックスキューの大きさが所定値より小さい場
    合に前記微調整を終了するクロック分配回路のレイアウ
    ト設計方法。
  3. 【請求項3】クロック分配回路を構成するバッファの各
    々の位置に特性の異なる2個以上のバッファを配置し、
    前記2個以上のバッファのうちのいずれか1つを選択す
    ることによりバッファ置き換えステップを実行すること
    を特徴とする請求項2記載のクロック分配回路のレイア
    ウト設計方法。
JP8078548A 1996-04-01 1996-04-01 クロック分配回路およびそのレイアウト設計方法 Pending JPH09269847A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8078548A JPH09269847A (ja) 1996-04-01 1996-04-01 クロック分配回路およびそのレイアウト設計方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8078548A JPH09269847A (ja) 1996-04-01 1996-04-01 クロック分配回路およびそのレイアウト設計方法

Publications (1)

Publication Number Publication Date
JPH09269847A true JPH09269847A (ja) 1997-10-14

Family

ID=13664981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8078548A Pending JPH09269847A (ja) 1996-04-01 1996-04-01 クロック分配回路およびそのレイアウト設計方法

Country Status (1)

Country Link
JP (1) JPH09269847A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000035832A (ja) * 1998-07-21 2000-02-02 Nec Corp 半導体集積回路及びそのクロック分配方法
US6832328B2 (en) 2000-07-28 2004-12-14 Renesas Technology Corp. Placement and routing method for optimizing clock skew in clock distribution circuit
US7071754B2 (en) 2003-12-17 2006-07-04 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and manufacturing method
JP2006293856A (ja) * 2005-04-13 2006-10-26 Toshiba Corp クロック配線装置及びクロック配線方法
JP2007233932A (ja) * 2006-03-03 2007-09-13 Nec Corp データ処理装置、データ処理方法およびプログラム
JP2009175025A (ja) * 2008-01-25 2009-08-06 Nec Corp 半導体集積回路装置及びクロックスキュー計測方法
JP2013045459A (ja) * 2011-08-22 2013-03-04 Fujitsu Ltd クロック・ネットワーク・メタ合成のためのシステムおよび方法
JP2017220063A (ja) * 2016-06-08 2017-12-14 キヤノン株式会社 半導体集積回路
CN111950222A (zh) * 2019-04-29 2020-11-17 瑞昱半导体股份有限公司 使用模拟软件产生电路布局的方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000035832A (ja) * 1998-07-21 2000-02-02 Nec Corp 半導体集積回路及びそのクロック分配方法
US6832328B2 (en) 2000-07-28 2004-12-14 Renesas Technology Corp. Placement and routing method for optimizing clock skew in clock distribution circuit
US7071754B2 (en) 2003-12-17 2006-07-04 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and manufacturing method
JP2006293856A (ja) * 2005-04-13 2006-10-26 Toshiba Corp クロック配線装置及びクロック配線方法
JP4630718B2 (ja) * 2005-04-13 2011-02-09 株式会社東芝 クロック配線装置及びクロック配線方法
JP2007233932A (ja) * 2006-03-03 2007-09-13 Nec Corp データ処理装置、データ処理方法およびプログラム
JP4645843B2 (ja) * 2006-03-03 2011-03-09 日本電気株式会社 データ処理装置、データ処理方法およびプログラム
JP2009175025A (ja) * 2008-01-25 2009-08-06 Nec Corp 半導体集積回路装置及びクロックスキュー計測方法
JP2013045459A (ja) * 2011-08-22 2013-03-04 Fujitsu Ltd クロック・ネットワーク・メタ合成のためのシステムおよび方法
JP2017220063A (ja) * 2016-06-08 2017-12-14 キヤノン株式会社 半導体集積回路
CN111950222A (zh) * 2019-04-29 2020-11-17 瑞昱半导体股份有限公司 使用模拟软件产生电路布局的方法

Similar Documents

Publication Publication Date Title
US8115530B2 (en) Robust time borrowing pulse latches
US20060066357A1 (en) Semiconductor integrated circuit
US7394302B2 (en) Semiconductor circuit, operating method for the same, and delay time control system circuit
JP3317948B2 (ja) 半導体集積回路のレイアウト設計方法及び半導体集積回路
JPH09269847A (ja) クロック分配回路およびそのレイアウト設計方法
EP0897145B1 (en) Integrated circuit for supplying a clock signal and method for constructing the same
US10579773B2 (en) Layouting of interconnect lines in integrated circuits
JP4320340B2 (ja) 半導体集積回路の設計方法、および、半導体集積回路
US20030221175A1 (en) Automatic placement and routing apparatus for designing integrated circuit that controls its timing using multiple power supplies
US6230300B1 (en) Method and apparatus for the optimization of a tree depth for clock distribution in semiconductor integrated circuits
JPH10107614A (ja) 半導体集積回路及びその設計方法
JP2005184262A (ja) 半導体集積回路及びその製造方法
CN111381654B (zh) 负载探测电路、soc系统、及负载探测电路的配置方法
JPH0384951A (ja) 集積回路のレイアウト設計方法
JP2008166981A (ja) 遅延調整回路及び遅延調整方法
JP2005116793A (ja) 半導体集積回路及びそのクロック配線方法
JP2000035832A (ja) 半導体集積回路及びそのクロック分配方法
JP3397217B2 (ja) 半導体集積回路
JPH0830655A (ja) 半導体装置の同期回路レイアウト設計方法
JP2013046321A (ja) 遅延回路、dll回路、および半導体装置
JP3441413B2 (ja) 遅延調整セルおよびそれを用いた半導体回路の設計方法
JP2000029562A (ja) 半導体集積回路及びクロック供給回路の設計方法
JP2005259781A (ja) 半導体集積回路の製造方法
JP2000236025A (ja) クロックスキュー調整回路およびクロックスキュー調整回路のレイアウト設計方法
JP2000082745A (ja) 半導体装置