JP2006293856A - クロック配線装置及びクロック配線方法 - Google Patents

クロック配線装置及びクロック配線方法 Download PDF

Info

Publication number
JP2006293856A
JP2006293856A JP2005116208A JP2005116208A JP2006293856A JP 2006293856 A JP2006293856 A JP 2006293856A JP 2005116208 A JP2005116208 A JP 2005116208A JP 2005116208 A JP2005116208 A JP 2005116208A JP 2006293856 A JP2006293856 A JP 2006293856A
Authority
JP
Japan
Prior art keywords
circuit
clock
signal
semiconductor integrated
delay time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005116208A
Other languages
English (en)
Other versions
JP4630718B2 (ja
Inventor
Takeshi Kitahara
健 北原
Kaki Tsukihoshi
佳記 月星
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Device Solutions Corp
Original Assignee
Toshiba Corp
Toshiba Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Microelectronics Corp filed Critical Toshiba Corp
Priority to JP2005116208A priority Critical patent/JP4630718B2/ja
Priority to US11/402,525 priority patent/US7543258B2/en
Publication of JP2006293856A publication Critical patent/JP2006293856A/ja
Application granted granted Critical
Publication of JP4630718B2 publication Critical patent/JP4630718B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】 クロック周波数及び電源電圧を変化させる半導体集積回路のクロックスキューを低減できるクロック配線装置及びクロック配線方法を提供する。
【解決手段】 設計対象としての半導体集積回路上の信号伝搬経路の信号遅延時間を調整する遅延時間調整部11と、信号伝搬経路の一部に対して回路変更を禁止する指定を行う禁止指定部12と、指定に従って半導体集積回路のクロックツリー合成処理を行うクロックツリー合成部13とを備える。
【選択図】 図1

Description

本発明は、クロック配線技術に係り、特にクロック周波数及び電源電圧を変化させる半導体集積回路のクロック配線を行うクロック配線装置及びクロック配線方法に関する。
半導体集積回路の論理ブロックのクロック周波数及び電源電圧を負荷に応じて変化させることにより、半導体集積回路の低消費電力を実現する方法が使用されている。この方法は、論理ブロックが処理する負荷が軽いときに、通常の状態よりも論理ブロックのクロック周波数及び電源電圧を低くすることを特徴とする。クロック周波数及び電源電圧を低くするため、上記方法は論理ブロックの消費電力の削減に効果がある。特に、負荷容量の充放電で消費される電力は電源電圧の2乗に比例するため、電源電圧を低くすることによる消費電力削減効果は大きい。更に、電源電圧を低くすることによりサブスレッショルド電流が低減する。そのため、リーク電流による消費電力を削減することにも効果がある。
論理ブロックの電源電圧を変化させる低消費電力設計方法においては、遅延調節回路を使用することが有効である。遅延調節回路を使用することにより、電源電圧値が変化している期間も論理ブロック中の回路は動作可能となる。
一方、クロック信号の遅延値を参照してクロックスキューを低減する半導体集積回路やその設計方法が提案されている(例えば、特許文献1参照。)。しかし、特許文献1に開示された方法は製造上のばらつきに対処するためのものであり、電源電圧の変化によって生じるクロック信号の遅延に対応してクロックスキューを低減することはできない。つまり、特許文献1に開示された方法を、負荷に応じてクロック周波数及び電源電圧を変化させる論理ブロックを含む半導体集積回路のクロック配線方法に適用しても、クロックスキューを低減することができない。
特開平7−98617号公報
本発明は、クロック周波数及び電源電圧を変化させる半導体集積回路のクロックスキューを低減できるクロック配線装置及びクロック配線方法を提供する。
本発明の第1の特徴は、(イ)設計対象としての半導体集積回路上の信号伝搬経路の信号遅延時間を調整する遅延時間調整部と、(ロ)信号伝搬経路の一部に対して回路変更を禁止する指定を行う禁止指定部と、(ハ)指定に従って半導体集積回路のクロックツリー合成処理を行うクロックツリー合成部とを備えるクロック配線装置であることを要旨とする。
本発明の第2の特徴は、遅延時間調整部、禁止指定部及びクロックツリー合成部を備えるクロック配線装置において、(イ)遅延時間調整部が、回路情報記憶領域から設計対象としての半導体集積回路の回路情報を読み出し、その回路情報に基づき半導体集積回路上の信号伝搬経路の信号遅延時間を調整するステップと、(ロ)禁止指定部が信号伝搬経路の一部に対して回路変更を禁止する指定を行い、その回路変更を禁止する指定がされた新たな回路情報を回路情報記憶領域に格納するステップと、(ハ)クロックツリー合成部が、回路情報記憶領域から新たな回路情報を読み出し、その新たな回路情報に基づき半導体集積回路のクロックツリー合成処理を行うステップとを含む半導体集積回路の自動クロック配線方法であることを要旨とする。
本発明によれば、クロック周波数及び電源電圧を変化させる半導体集積回路のクロックスキューを低減できるクロック配線装置及びクロック配線方法を提供できる。
次に、図面を参照して、本発明の第1又は第2の実施の形態を説明する。以下の図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。又、以下に示す第1又は第2の実施の形態は、この発明の技術的思想を具体化するための装置や方法を例示するものであって、この発明の技術的思想は、構成部品の構造、配置等を下記のものに特定するものでない。この発明の技術的思想は、特許請求の範囲において、種々の変更を加えることができる。
(第1の実施の形態)
本発明の第1の実施の形態に係るクロック配線装置は、図1に示すように、設計対象としての半導体集積回路上の信号伝搬経路の信号遅延時間を調整する遅延時間調整部11と、信号伝搬経路の一部に対して回路変更を禁止する指定を行う禁止指定部12と、指定に従って半導体集積回路のクロックツリー合成処理を行うクロックツリー合成部13とを備える。クロックツリー合成処理を以下において「CTS処理」という。
遅延時間調整部11は、算出部111及び変更部112を備える。算出部111は、半導体集積回路の回路情報に基づき、信号伝搬経路における信号遅延時間を算出する。変更部112は、バッファ回路を信号伝搬経路に挿入する等の回路変更を行う。禁止指定部12によって回路変更を禁止する指定がなされた信号伝搬経路では回路変更は行えない。
遅延時間調整部11、禁止指定部12及びクロックツリー合成部13は、処理装置1に含まれる。又、処理装置1は、半導体集積回路の回路情報に基づき回路素子の配置を行う回路素子配置部10を更に備える。
図1に示すクロック配線装置は、記憶装置2、入力装置3及び出力装置4を更に備える。記憶装置2は、回路情報記憶領域21、素子配置記憶領域22、遅延時間記憶領域23、及びレイアウト情報記憶領域24を備える。回路情報記憶領域21に半導体集積回路の回路情報が格納される。回路情報は、チップ領域上に配置された回路素子の配置情報や回路素子間を接続するネットの情報等を含む。素子配置記憶領域22に、回路素子配置部10によって配置された回路素子の配置情報が格納される。遅延時間記憶領域23に、算出部111によって算出される信号遅延時間が格納される。レイアウト情報記憶領域24に、CTS処理された半導体集積回路のレイアウト情報が格納される。
入力装置3はキーボード、マウス、ライトペン又はフレキシブルディスク装置等で構成される。入力装置3より、入出力データを指定できる。更に、入力装置3より出力データの形態等を設定することも可能で、又、クロック配線処理の設定や中止などの指示の入力も可能である。
又、出力装置4としては、クロック配線の配置結果を表示するディスプレイやプリンタ、或いはコンピュータ読み取り可能な記録媒体に保存する記録装置等が使用可能である。ここで、「コンピュータ読み取り可能な記録媒体」とは、例えばコンピュータの外部メモリ装置、半導体メモリ、磁気ディスク、光ディスク、光磁気ディスク、磁気テープ等の電子データを記録することができるような媒体等を意味する。具体的には、フレキシブルディスク、CD−ROM、MOディスク、カセットテープ、オープンリールテープ等が「コンピュータ読み取り可能な記録媒体」に含まれる。
図1に示したクロック配線装置による半導体集積回路の自動クロック配線方法を、図2に示した半導体集積回路を用いて例示的に説明する。そのため、先ず図2に示した半導体集積回路について説明する。
図2に示した半導体集積回路は、クロック信号出力回路110、周波数設定回路120、遅延調節回路130、第1論理ブロック141、第2論理ブロック142、第1レベル調整回路151及び第2レベル調整回路152で構成される。第1論理ブロック141の動作クロックの周波数及び電源電圧は一定である。ここで、第1論理ブロック141の動作クロックの周波数を周波数fとする。一方、第2論理ブロック142の動作クロックの周波数及び電源電圧は可変であるとする。第2論理ブロック142の動作クロックの周波数は、周波数設定回路120によって設定される。
クロック信号出力回路110に第1論理ブロック141及び周波数設定回路120が接続される。そして、クロック信号出力回路110から出力された周波数fのクロック信号CL1が第1論理ブロック141及び周波数設定回路120に入力される。
周波数設定回路120は、分周器121及びセレクタ回路122を備える。周波数設定回路120に入力されたクロック信号CL1は、セレクタ回路122と分周器121に入力される。分周器121に入力されたクロック信号CL1は1/2分周される。そして、分周器121は周波数f/2のクロック信号CL2をセレクタ回路122に出力する。セレクタ回路122は、第2論理ブロック142に設定する動作クロックの周波数に応じて、クロック信号CL1及びクロック信号CL2のいずれかを選択して遅延調節回路130に出力する。以下において、セレクタ回路122から出力される信号を「クロック信号CLS」とする。以上に説明したように、周波数設定回路120は、周波数fのクロック信号CL1が伝搬する信号伝搬経路P1と、周波数f/2のクロック信号CL2が伝搬する信号伝搬経路P2を有する。
遅延調節回路130に、周波数設定回路120、第2論理ブロック142、第1レベル調整回路151及び第2レベル調整回路152が接続される。そして、周波数設定回路120からクロック信号CLS、第1レベル調整回路151からクロック信号CLK1、第2レベル調整回路152からクロック信号CLK2が、遅延調節回路130にそれぞれ入力される。遅延調節回路130において、クロック信号CLK1及びクロック信号CLK2が同期するように、クロック信号CLSの遅延時間が調節される。遅延調節回路130は、遅延時間を調節したクロック信号CLS2を第2論理ブロック142に出力する。
第1レベル調整回路151及び第2レベル調整回路152は、第1論理ブロック141及び第2論理ブロック142から出力されるクロック信号のレベルが互いに同一になるようにそれぞれ調整する。そして、第1レベル調整回路151及び第2レベル調整回路152は、レベルを調整したクロック信号をクロック信号CLK1及びクロック信号CLK2として遅延調節回路130にそれぞれ出力する。
ここで、遅延調節回路130について説明する。図3に、遅延調節回路130の構成例を示す。図3に示した遅延調節回路130は、位相比較器131と遅延回路132を備える。クロック信号CLK1の位相とクロック信号CLK2の位相が位相比較器131によって比較される。クロック信号CLK1の位相がクロック信号CLK2の位相に比べて早い場合は、遅延回路132にクロック信号CLSが入力されてから出力されるまでの遅延時間を長く設定する。つまり、クロック信号CLK1とクロック信号CLK2の位相が合うように、クロック信号CLK1の位相を遅らせる。一方、クロック信号CLK1の位相がクロック信号CLK2の位相に比べて遅い場合は、遅延回路132にクロック信号CLSが入力されてから出力されるまでの遅延時間を短く設定する。つまり、クロック信号CLK1とクロック信号CLK2の位相が合うように、クロック信号CLK1の位相を進める。
図4に位相比較器131として遅延回路132と時間測定回路133を使用した例を示す。図5に例示した時間測定回路133は、複数の遅延抽出部1311〜131n、複数のラッチ回路L1〜Ln、及び複数の出力部T1〜Tnを備える(n:2以上の整数)。
遅延抽出部1311〜131nは、NAND回路F1〜Fn、NAND回路D1〜Dn、インバータE1〜Enによってそれぞれ構成される。図5に示したように、遅延抽出部1311〜131nはカスケード接続され、例えば遅延抽出部1311の出力が遅延抽出部1312に入力される。NAND回路F1〜Fnの一方の入力端子に、インバータI1、I2を介してクロック信号CLK1がそれぞれ入力される。NAND回路F1の他方の入力端子はハイ(H)レベルに固定され、NAND回路F2〜Fnの他方の入力端子はロー(L)レベルに固定される。NAND回路F1〜Fnの出力はNAND回路D1〜Dnの一方の入力端子にそれぞれ入力される。NAND回路D1〜Dnの出力は、インバータE1〜Enにそれぞれ入力される。インバータE1〜Enの出力は、ラッチ回路L1〜Lnのデータ入力端子Dに入力される。又、インバータEn以外の出力は、次段の遅延抽出部1312〜131n内のNAND回路D2〜Dnの他方の入力端子にそれぞれ入力される。NAND回路D1の他方の入力端子はHレベルに固定される。
ラッチ回路L1〜Lnのクロック端子CKにインバータI3、I4を介してクロック信号CLK2がそれぞれ入力される。ラッチ回路L1〜Lnの出力は、出力部T1〜Tnにそれぞれ入力される。なお、ラッチ回路L1〜Lnはクロック端子CKの値がHレベルの時に値をラッチして、Lレベルの時にはデータ入力端子Dの値を出力端子Qにスルーする。
出力部T1〜Tnは、インバータG1〜Gn及びOR回路H1〜Hnをそれぞれ備える。OR回路H1〜Hnの一方の入力端子にラッチ回路L1〜Lnの出力がそれぞれ入力される。OR回路H1〜Hnの他方の入力端子にインバータG1〜Gnの出力がそれぞれ入力される。インバータG1〜Gn-1の入力端子に、ラッチ回路L2〜Lnの出力がそれぞれ入力される。インバータGnの入力端子はHレベルに固定される。
図5に示した時間測定回路にHレベルのパルスとしてクロック信号CLK1が入力されると、遅延抽出部1311のNAND回路F1の出力がLレベルになる。そして、NAND回路D1及びインバータE1をパルス信号が伝搬した後にインバータE1の出力がHレベルからLレベルに変化する。ここで、NAND回路D1とインバータE1、NAND回路D2とインバータE2、・・・・・、NAND回路DnとインバータEnの信号遅延時間の和がそれぞれ信号遅延時間td1であるとする。つまり、NAND回路F1の出力がLレベルになってから信号遅延時間td1後にインバータE1の出力がLレベルになる。
更に、次段の遅延抽出部1312のNAND回路D2及びインバータE2をパルス信号が伝搬した後、つまり信号遅延時間td1後にインバータE2の出力がLレベルになる。同様にして、信号遅延時間td1毎にインバータE1〜Enの出力が順次Lレベルに変化する。クロック信号CLK2が入力されると、インバータE1〜Enの出力がラッチ回路L1〜Lnにそれぞれラッチされる。つまり、i番目の遅延抽出部131iまでパルスが通過したときにクロック信号CLK2がHレベルになると、インバータE1〜Eiの出力がLレベルになる(i:2以上、n以下の整数)。一方、インバータEi+1〜Enの出力はHレベルである。その結果、出力部T1〜Tnの出力DT1〜DTnのうち、i番目の出力部Tiの出力DTiのみがHレベルになる。即ち、図5に示した時間測定回路は、クロック信号CLK1が入力されてからクロック信号CLK2が入力されるまでの時間を信号遅延時間td1を単位として測定する。
出力部T1〜Tnの出力DT1〜DTnは、例えば図6に示した構成の遅延回路にそれぞれ入力される。図6に示した遅延回路は、遅延設定部1321〜132nを備える。図6に示したように遅延設定部1321〜132nはカスケード接続され、例えば遅延設定部1322の出力が遅延設定部1321に入力される。遅延設定部1321〜132nは、NAND回路A1〜An、インバータB1〜Bn、及びNAND回路C2〜Cnによってそれぞれ構成される。
NAND回路C2〜Cnの一方の入力端子に、インバータI5、I6を介してクロック信号CLSがそれぞれ入力される。NAND回路C2〜Cnの他方の入力端子に、図5に示した出力部T1〜Tnの出力DT1〜DTnがそれぞれ入力される。NAND回路C2〜Cnの出力はNAND回路A1〜Anの一方の入力端子にそれぞれ入力される。NAND回路A1〜Anの出力は、インバータB1〜Bnにそれぞれ入力される。インバータB2〜Bnの出力は、次段の遅延設定部1321〜132n-1内のNAND回路A2〜An-1の他方の入力端子にそれぞれ入力される。インバータB1は、インバータI7を介してクロック信号CLS2を出力する。
i番目の出力部Tiの出力DTiがHレベルである場合、クロック信号CLSは遅延設定部132i内のNAND回路Ci、NAND回路Ai及びインバータBiを伝搬して遅延設定部132i-1に入力される。そして、クロック信号CLSは遅延設定部132i-1〜1321を順次伝搬して、インバータI7から出力される。つまり、図6に示した遅延回路は、入力されたクロック信号CLSを、遅延設定部1321〜132nにおけるクロック信号CLSの遅延時間を単位とした遅延時間後に出力する。遅延設定部132iにおけるクロック信号CLSの遅延時間は、NAND回路Ai及びインバータBiの信号遅延時間によって決まる。ここで、NAND回路AiとインバータBiの信号遅延時間の和を信号遅延時間td2とする。
したがって、信号遅延時間td1と信号遅延時間td2を互いに等しくすることによって、クロック信号CLK1及びクロック信号CLK2が同期するように、クロック信号CLSの遅延時間が調節できる。
以下に、図1に示したクロック配線装置による半導体集積回路の自動クロック配線方法の例を、図7に示すフローチャートを用いて説明する。
(イ)ステップS110において、図1に示す入力装置3を介して、半導体集積回路の回路情報が回路情報記憶領域21に格納される。
(ロ)ステップS120において、回路素子配置部10が、回路情報を回路情報記憶領域21から読み出す。そして、回路素子配置部10は、例えば図2に示した半導体集積回路の回路情報に基づき、回路素子の配置を行う。回路素子の配置情報は、素子配置記憶領域22に格納される。
(ハ)ステップS130において、算出部111が、回路素子の配置情報を素子配置記憶領域22から読み出す。そして、算出部111は、回路素子の配置情報に基づき、周波数設定回路120内の信号伝搬経路P1の信号遅延時間tp1及び信号伝搬経路P2の信号遅延時間tp2をそれぞれ算出する。ここで、信号伝搬経路P1の配線は、例えばクロック信号出力回路110とセレクタ回路122を接続する配線が最短になるように配線されると仮定する。同様に、信号伝搬経路P2の配線は、例えばクロック信号出力回路110、分周器121及びセレクタ回路122を接続する配線が最短になるように配線されると仮定する。尚、信号遅延時間tp1及び信号遅延時間tp2の算出には、入力ベクタを使用する回路シミュレーション、或いは入力ベクタを使用しない静的遅延時間解析を用いることが可能である。算出された信号遅延時間tp1及び信号遅延時間tp2は、遅延時間記憶領域23に格納される。
(ニ)ステップS140において、変更部112が、回路素子の配置情報を素子配置記憶領域22から、信号遅延時間tp1及び信号遅延時間tp2を遅延時間記憶領域23からそれぞれ読み出す。そして、変更部112は、信号遅延時間tp1と信号遅延時間tp2を互いに等しくなるように、回路変更を行う。例えば、図8に示すように、信号伝搬経路P1の途中にバッファ回路125が挿入される。遅延時間調整部11によって信号遅延時間を調整された新たな回路素子の配置情報は、信号遅延時間を調整される前の回路素子の配置情報と置き換えて素子配置記憶領域22に格納される。
(ホ)ステップS150において、禁止指定部12が、回路素子の配置情報を素子配置記憶領域22から読み出す。そして禁止指定部12は、信号伝搬経路P1、信号伝搬経路P2、セレクタ回路122から遅延調節回路130までの信号伝搬経路、セレクタ回路122及び遅延調節回路130における回路変更を禁止する指定を行う。回路変更を禁止する指定がされた新たな回路素子の配置情報は、禁止指定がされる前の回路素子の配置情報と置き換えて素子配置記憶領域22に格納される。
(ヘ)ステップS160において、クロックツリー合成部13が、回路素子の配置情報を素子配置記憶領域22から読み出す。そしてクロックツリー合成部13は、クロック信号出力回路110を始点に、第1論理ブロック141内及び第2論理ブロック142内のクロック同期型記憶素子を対象にCTS処理を行う。クロック同期型記憶素子は、フリップフロップ等である。CTS処理では、クロック信号出力回路110から各クロック同期型記憶素子までの信号遅延時間が同一になるように回路変更され、例えばバッファ回路が追加される。ただし、回路変更を禁止する指定がされている信号伝搬経路及び回路にはバッファ回路は追加されない。したがって、バッファ回路の追加が可能なのは、図9に示した回路領域R1及び回路領域R2に含まれる信号伝搬経路である。図9は、第1論理ブロック141の前段にバッファ回路161が挿入され、第2論理ブロック142の前段にバッファ回路162が挿入された例を示す。尚、クロック信号出力回路110から第2論理ブロック142までの信号伝搬経路は、信号伝搬経路P1又は信号伝搬経路P2の2通りあるが、CTS処理の際にはどちらの信号伝搬経路を選択してもよい。信号伝搬経路P1の信号遅延時間tp1と信号伝搬経路P2の信号遅延時間tp2は同一になるようにステップS140において調整済みだからである。CTS処理された半導体集積回路のレイアウト情報は、レイアウト情報記憶領域24に格納される。
(ト)ステップS170において、算出部111が、レイアウト情報をレイアウト情報記憶領域24から読み出す。そして、算出部111は、レイアウト情報に基づき、以下の信号伝搬経路Aにおける信号遅延時間TdA及び信号伝搬経路Bにおける信号遅延時間TdBをそれぞれ算出する。
信号伝搬経路A:クロック信号出力回路110〜第1論理ブロック141〜第1レベル調整回路151〜遅延調節回路130
信号伝搬経路B:クロック信号出力回路110〜遅延調節回路130〜第2論理ブロック142〜第2レベル調整回路152〜遅延調節回路130
尚、信号遅延時間tp1と信号遅延時間tp2は同一になるように調整済みであるため、信号遅延時間TdBを算出する場合に、信号伝搬経路P1又は信号伝搬経路P2のどちらを選択してもよい。算出された信号遅延時間TdA及び信号遅延時間TdBは、遅延時間記憶領域23に格納される。
(チ)ステップS180において、変更部112が、レイアウト情報をレイアウト情報記憶領域24から、信号遅延時間TdA及び信号遅延時間TdBを遅延時間記憶領域23からそれぞれ読み出す。そして、変更部112は、信号遅延時間TdAと信号遅延時間TdBを互いに等しくなるように、回路変更を行う。このとき、第2論理ブロック142の電源電圧を初期値に設定し、遅延調節回路130を第2論理ブロック142の電源電圧に対応した遅延値に設定する。電源電圧の初期値とは、第2論理ブロック142の負荷に応じて変化させる前の、例えば第1論理ブロック141等の回路に設定される電源電圧である。図10に、第1レベル調整回路151と遅延調節回路130の間にバッファ回路171が挿入された例を示す。遅延時間調整部11によって信号遅延時間を調整された新たなレイアウト情報は、信号遅延時間を調整される前のレイアウト情報と置き換えてレイアウト情報記憶領域24に格納される。
以上に説明したように、本発明の第1の実施の形態に係るクロック配線装置により、先ず、第2論理ブロック142の電源電圧の変化に起因するクロック信号の遅延時間を遅延調節回路130によって調整できない周波数設定回路120のクロック信号の遅延時間が調整される。その後、クロック調整済みの回路部分の回路変更を禁止して、遅延調節回路130によってクロック信号の遅延調整が可能な回路部分のクロック信号の遅延時間が調整される。その結果、負荷に応じてクロック周波数及び電源電圧を変化させる論理ブロックを含む半導体集積回路におけるクロックスキューを低減することができる。
なお、上記の説明においては、ステップS160においてクロック信号出力回路110から第1論理ブロック141及び第2論理ブロック142までのCTS処理を行い、ステップS170及びステップS180において信号伝搬経路A及び信号伝搬経路Bの信号遅延時間を調整する例を示した。つまり、ステップS170及びステップS180においては、第1論理ブロック141及び第2論理ブロック142から遅延調節回路130までの信号遅延時間を調整している。しかし、ステップS160と、ステップS170及びステップS180とでそれぞれ行っている信号遅延時間の調整を1回のCTS処理で行ってもよい。即ち、クロックツリー合成部13がクロック信号出力回路110から遅延調節回路130までの信号遅延時間を一度のCTS処理で調整してもよい。
信号遅延時間tp1、tp2或いは信号遅延時間TdA、TdBを調整するためにバッファ回路が追加される。そのため、クロック配線が配置されると予想される領域に、予めバッファ回路を用意しておいてもよい。その結果、後からバッファ回路を追加しようとした場合に、バッファ回路を配置するスペースがないという事態を避けることができる。又、すべての配線処理が終了した後に、実配線の信号遅延情報に基づき、バッファ回路を挿入してもよい。複数のバッファ回路を直列接続した回路を用意しておき、必要な段数分のバッファ回路を使用してもよい。
<変形例>
図11に本発明の第1の実施の形態の変形例に係るクロック配線装置を示す。図11に示すクロック配線装置は、判定部14及び許容値記憶領域25を更に備える点が図1と異なる。判定部14は、論理ブロック内のクロックスキューが予め設定された許容値を満足するか否かを判定する。許容値記憶領域25に、設定されたクロックスキューの許容値が格納される。許容値は、半導体集積回路に含まれる複数の論理ブロック間のクロックスキュー差を低減するように設定される。
例えば図2に示した第1論理ブロック141内のクロックスキューと第2論理ブロック内のクロックスキューの差が大きい場合、上記で説明した自動クロック配線方法で第1論理ブロック141と第2論理ブロック142間のクロックスキューを低減するための信号遅延時間の調整やCTS処理に要する時間が増大する場合がある。そのため、第1論理ブロック141内及び第2論理ブロック内のクロックスキューを予め設定された許容値以下にした後にクロック配線を行うことが、半導体集積回路全体のクロックスキューを低減するために効果的である。
以下に、図11に示したクロック配線装置によって、論理ブロック内のクロックスキューが設定された許容値を満足するか否かを判定して、論理ブロック間のクロックスキューを低減する方法を、図12に示すフローチャートを用いて説明する。
(イ)ステップS100において、図11に示す入力装置3を介して、論理ブロック内のクロックスキューの許容値が許容値記憶領域25に格納される。更に、ステップS110において、例えば図2に示した半導体集積回路の回路情報が回路情報記憶領域21に格納される。そして、ステップS120において、回路素子配置部10が、回路情報を回路情報記憶領域21から読み出す。そして、回路素子配置部10は、半導体集積回路の回路情報に基づき、回路素子の配置を行う。回路素子の配置情報は、素子配置記憶領域22に格納される。
(ロ)ステップS121において、クロックツリー合成部13が、回路素子の配置情報を素子配置記憶領域22から読み出す。そしてクロックツリー合成部13は、図2に示した第1論理ブロック141内及び第2論理ブロック142内のクロック同期型記憶素子を対象にCTS処理を行う。CTS処理された第1論理ブロック141及び第2論理ブロック142のレイアウト情報は、レイアウト情報記憶領域24に格納される。
(ハ)ステップS122において、判定部14が第1論理ブロック141のレイアウト情報及び第2論理ブロック142のレイアウト情報をレイアウト情報記憶領域24から、クロックスキューの許容値を許容値記憶領域25からそれぞれ読み出す。そして、判定部14は、第1論理ブロック141内のクロックスキュー及び第2論理ブロック142内のクロックスキューが許容値を満足するか否かを判定する。第1論理ブロック141及び第2論理ブロック142のクロックスキューが共に許容値を満足する場合は、ステップS130に進み、図7で説明したのと同様の処理を行う。ただし、図12に示したステップS121においてCTS処理が終了しているため、図7に示したステップS160におけるCTS処理は実行されない。一方、第1論理ブロック141及び第2論理ブロック142のクロックスキューのどちらか一方でも許容値を満足しない場合は、ステップS123に進む。
(ニ)ステップS123において、クロックツリー合成部13がCTS処理の設定を変更する。変更する設定は、例えばCTS処理において信号伝搬経路に挿入されるバッファ回路の段数の設定、バッファ回路のファンアウト数の設定等である。その後、ステップS121に戻る。
図11に示したクロック配線装置では、各論理ブロック内のクロックスキューを許容値以下にした後に半導体集積回路のクロック配線を行う。そのため、本発明の第1の実施の形態の変形例に係るクロック配線装置によれば、半導体集積回路の各論理ブロック内のクロックスキューの差が大きい場合であっても、効率的に短時間で半導体集積回路全体のクロックスキューを低減することができる。
(第2の実施の形態)
本発明の第2の実施の形態に係わるクロック配線装置は、図13に示すように、固定遅延回路調整部15を更に備える点が図1と異なる。その他の構成については、図1に示す第1の実施の形態と同様である。固定遅延回路調整部15は、半導体集積回路に含まれる複数の固定遅延回路における信号遅延時間をそれぞれ調整する。
固定遅延回路調整部15は、遅延算出部1501及び回路変更部1502を備える。遅延算出部1501は、固定遅延回路における信号遅延時間を算出する。回路変更部1502は、バッファ回路を挿入する等して固定遅延回路の変更を行う。
図13に示したクロック配線装置による半導体集積回路の自動クロック配線方法を、図14に示した半導体集積回路を用いて例示的に説明する。そのため、先ず図14に示した半導体集積回路について説明する。
図2に示した周波数設定回路120において設定可能な周波数は周波数f及び周波数f/2の2通りであったが、図14に示した半導体集積回路は、k種類の動作クロックの周波数が設定できる周波数設定回路120Aを備える(k:自然数)。更に、図14に示した半導体集積回路は、m種類の信号遅延時間を設定できる遅延設定回路180を備える点が、図2の半導体集積回路と異なる(m:自然数)。図14に示すように、遅延調節回路130は遅延設定回路180に含まれる。
動作クロックの周波数及び電源電圧が可変である論理ブロックであっても、動作クロックの周波数及び電源電圧を固定して使用される場合がある。図14に示した半導体集積回路は、第2論理ブロック142の動作クロックの周波数及び電源電圧を固定して使用する場合は、遅延調節回路130を使用する必要がない。遅延調節回路130を使用しないことより、遅延調節回路130で消費する電力を削減することができる。図14に示した半導体集積回路では、第2論理ブロック142の動作クロックの周波数及び電源電圧に応じて、周波数設定回路120A及び遅延設定回路180において動作クロックの周波数及び信号遅延時間がそれぞれ設定される。
クロック信号出力回路110に第1論理ブロック141及び周波数設定回路120Aが接続される。そして、クロック信号出力回路110から出力された周波数fのクロック信号CL1が第1論理ブロック141及び周波数設定回路120Aに入力される。
周波数設定回路120Aは、分周器1212〜121k、セレクタ回路122を備える。周波数設定回路120Aに入力されたクロック信号CL1は、セレクタ回路122と分周器1212〜121kに入力される。分周器1212〜121kに入力されたクロック信号CL1は、1/2〜1/2k-1にそれぞれ分周される。そして分周器1212〜121kは、周波数fを1/2〜1/2k-1に分周したクロック信号CL2〜CLkをそれぞれ出力する。セレクタ回路122は、第2論理ブロック142に設定される動作クロックの周波数に応じて、クロック信号CL1〜CLkのいずれかを選択して、クロック信号CLSとして遅延設定回路180に出力する。以上に説明したように、周波数設定回路120Aは、クロック信号CL1〜クロック信号CLkがそれぞれ伝搬する信号伝搬経路P1〜Pkを有する。
遅延設定回路180は、固定遅延回路181〜18m及び遅延調節回路130を有する。固定遅延回路181〜18mにクロック信号CLSがそれぞれ入力される。ここで、第2論理ブロック142の電源電圧は電圧V1〜Vmのm通りに設定される場合があり、図14に示した半導体集積回路が正常に動作するために固定遅延回路181〜18mは以下のように設定されるとする。即ち、第2論理ブロック142が最も低い電圧V1に設定された場合に、固定遅延回路181を伝搬したクロック信号CLSが第2論理ブロック142に入力される。第2論理ブロック142が2番目に低い電圧V2に設定された場合に、固定遅延回路182を伝搬したクロック信号CLSが第2論理ブロック142に入力される。そして、第2論理ブロック142がm番目に低い電圧Vmに設定された場合、つまり最も高い電圧Vmに設定された場合に、固定遅延回路18mを伝搬したクロック信号CLSが第2論理ブロック142に入力される。
固定遅延回路181の信号遅延時間は一定値、例えば第2論理ブロック142が最も低い電源電圧で動作する場合の遅延調節回路130の信号遅延時間に設定される。固定遅延回路181〜18mの出力は、セレクタ回路190に入力される。セレクタ回路190は、第2論理ブロック142に設定される電源電圧に応じて、固定遅延回路181〜18mのいずれかの出力を選択して、第2論理ブロック142に出力する。
遅延調節回路130に、周波数設定回路120A、第1レベル調整回路151及び第2レベル調整回路152が接続される。そして、周波数設定回路120Aからクロック信号CLS、第1レベル調整回路151からクロック信号CLK1、第2レベル調整回路152からクロック信号CLK2が、遅延調節回路130にそれぞれ入力される。遅延調節回路130の出力はセレクタ回路190に入力される。そのため、遅延調節回路130においてクロック信号CLSの信号遅延時間を調節した後、クロック信号CLS2を第2論理ブロック142に出力することも可能である。
第1レベル調整回路151及び第2レベル調整回路152は、第1論理ブロック141及び第2論理ブロック142から出力されるクロック信号のレベルが互いに同一になるようにそれぞれ調整する。そして、第1レベル調整回路151及び第2レベル調整回路152は、レベルを調整したクロック信号をクロック信号CLK1及びクロック信号CLK2として遅延調節回路130にそれぞれ出力する。
以下に、図13に示したクロック配線装置による半導体集積回路の自動クロック配線方法の例を、図15に示すフローチャートを用いて説明する。
(イ)ステップS110〜S120において、例えば図14に示した半導体集積回路の回路情報が図13に示す回路情報記憶領域21に格納される。そして回路素子配置部10が、半導体集積回路の回路情報に基づき、回路素子の配置を行う。回路素子の配置情報は、素子配置記憶領域22に格納される。
(ロ)ステップS130において、算出部111が、回路素子の配置情報を素子配置記憶領域22から読み出す。そして、算出部111は、回路素子の配置情報に基づき、周波数設定回路120A内の信号伝搬経路P1〜Pkの信号遅延時間tp1〜tpkをそれぞれ算出する。ここで、信号伝搬経路P1〜Pkの配線は、クロック信号出力回路110、分周器1212〜121k、及びセレクタ回路122に接続されている。算出された信号遅延時間tp1〜tpkは、遅延時間記憶領域23に格納される。
(ハ)ステップS140において、変更部112が、回路素子の配置情報を素子配置記憶領域22から、信号遅延時間tp1〜tpkを遅延時間記憶領域23からそれぞれ読み出す。そして、変更部112は、信号遅延時間tp1〜tpkが互いに等しくなるように、バッファ回路を信号伝搬経路P1〜Pkに挿入する等の回路変更を行う。遅延時間調整部11によって信号遅延時間を調整された新たな回路素子の配置情報は、素子配置記憶領域22に格納される。
(ニ)ステップS150において、禁止指定部12が、回路素子の配置情報を素子配置記憶領域22から読み出す。そして禁止指定部12は、信号伝搬経路P1〜Pk、セレクタ回路122、遅延調節回路130及び遅延設定回路180における回路変更を禁止する指定を行う。回路変更を禁止する指定がされた新たな回路素子の配置情報は、素子配置記憶領域22に格納される。
(ホ)ステップS160において、クロックツリー合成部13が、回路素子の配置情報を素子配置記憶領域22から読み出す。そしてクロックツリー合成部13は、クロック信号出力回路110を始点に、第1論理ブロック141内及び第2論理ブロック142内のクロック同期型記憶素子を対象にCTS処理を行う。ここで、クロック信号出力回路110から第2論理ブロック142までの信号伝搬経路は、固定遅延回路181を通過するように設定する。CTS処理では、クロック信号出力回路110から各クロック同期型記憶素子までの信号遅延時間が同一になるように回路変更され、例えばバッファ回路が追加される。ただし、回路変更を禁止する指定がされている信号伝搬経路及び回路にはバッファ回路は追加されない。したがって、バッファ回路の追加等が可能なのは、図14に示したバッファ回路123Aの出力から第1論理ブロック141内の各クロック同期型記憶素子までの信号伝搬経路、及びセレクタ回路190の出力から第2論理ブロック142内の各クロック同期型記憶素子までの信号伝搬経路である。尚、周波数設定回路120A内には信号伝搬経路P1〜Pkのk通りの信号伝搬経路があるが、信号伝搬経路P1〜Pkの信号遅延時間tp1〜tpkは同一になるように調整済みである。そのため、CTS処理の際には信号伝搬経路P1〜Pkのうちのどの信号伝搬経路を選択してもよい。CTS処理された半導体集積回路のレイアウト情報は、レイアウト情報記憶領域24に格納される。
(ヘ)ステップS161において、算出部111が、レイアウト情報をレイアウト情報記憶領域24から読み出す。そして、算出部111は、レイアウト情報に基づき、第2論理ブロック142の電源電圧が電圧V1〜Vmにそれぞれ設定された場合のセレクタ回路190の出力から第2レベル調整回路152までの信号遅延時間tv1〜tvmをそれぞれ算出する。算出された信号遅延時間tv1〜tvmは、遅延時間記憶領域23に格納される。
(ト)ステップS162において、変更部112が、レイアウト情報をレイアウト情報記憶領域24から、信号遅延時間tv1〜tvmを遅延時間記憶領域23からそれぞれ読み出す。そして、変更部112は、固定遅延回路181〜18mにおける信号遅延時間tx1〜txmが式(1)をそれぞれ満足するように、バッファ回路を固定遅延回路181〜18mに挿入する等の回路変更を行う。
txj=tx1+(tvj−tv1) ・・・・・ (1)
ここで、j=1〜mである。ただし、既に述べたように、固定遅延回路181における信号遅延時間tx1は一定の時間、例えば第2論理ブロック142が最も低い電源電圧で動作する場合の遅延調節回路130の信号遅延時間に設定されている。信号遅延時間tx1〜txmが式(1)を満足すれば、第2論理ブロック142に設定される電源電圧が電圧V1〜Vmのいずれであっても、電圧V1〜Vmに応じた固定遅延回路181〜18mを選択することにより、固定遅延回路181〜18mの入力から第2レベル調整回路152までの信号遅延時間を互いに等しくできる。信号遅延時間tx1〜txmが調整された新たな半導体集積回路のレイアウト情報は、レイアウト情報記憶領域24に格納される。
(チ)ステップS170において、算出部111が、レイアウト情報をレイアウト情報記憶領域24から読み出す。そして、算出部111は、レイアウト情報に基づき、以下の信号伝搬経路Aの信号遅延時間TdA及び信号伝搬経路Bの信号遅延時間TdBをそれぞれ算出する。
信号伝搬経路A:クロック信号出力回路110〜第1論理ブロック141〜第1レベル調整回路151〜遅延調節回路130
信号伝搬経路B:クロック信号出力回路110〜周波数設定回路120A〜遅延設定回路180〜第2論理ブロック142〜第2レベル調整回路152〜遅延調節回路130
尚、信号遅延時間tp1〜tpkは同一になるように調整済みであるため、信号伝搬経路Bを設定する際に、信号伝搬経路P1〜Pkのどの信号伝搬経路を選択してもよい。又、第2論理ブロック142に設定される電源電圧に応じて固定遅延回路181〜18mからひとつの固定遅延回路を選択する。ただし、信号伝搬経路Bが遅延調節回路130を含む場合は、第2論理ブロック142の電源電圧を初期値に設定し、遅延調節回路130を第2論理ブロック142の電源電圧に対応した遅延値に設定する。算出された信号遅延時間TdA及び信号遅延時間TdBは、遅延時間記憶領域23に格納される。
(リ)ステップS180において、図10を用いて説明したのと同様にして、変更部112が、信号遅延時間TdAと信号遅延時間TdBを互いに等しくなるように、回路変更を行う。信号遅延時間TdA、TdBを調整された新たなレイアウト情報は、レイアウト情報記憶領域24に格納される。
上記の説明では、ステップS161においてセレクタ回路190の出力から第2レベル調整回路152までの信号遅延時間を算出する例を説明した。しかし、例えば、セレクタ回路190の出力から第2レベル調整回路152までの距離と、セレクタ回路190の出力から第2論理ブロック142内のクロック同期型記憶素子までの距離の差が大きい場合には、セレクタ回路190の出力から第2論理ブロック142内のすべてのクロック同期型記憶素子までの信号遅延時間の平均値を算出してもよい。
以上に説明したように、本発明の第2の実施の形態に係るクロック配線装置では、第2論理ブロック142に設定される電源電圧に応じて選択される固定遅延回路181〜18mにおける信号遅延時間tx1〜txmを、第2論理ブロックに設定される電源電圧に応じて調整する。その結果、固定遅延回路181〜18mを含む半導体集積回路におけるクロックスキューを低減することができる。他は、第1の実施の形態と実質的に同様であり、重複した記載を省略する。
(その他の実施の形態)
上記のように、本発明は第1及び第2の実施の形態によって記載したが、この開示の一部をなす論述及び図面はこの発明を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。
既に述べた第1及び第2の実施の形態の説明においては、ステップS130において、算出部111が、回路素子の配置情報に基づき周波数設定回路120、120A内の各信号伝搬経路の信号遅延時間を算出する例を示した。しかし、例えば周波数設定回路120、120A内の概略配線又は詳細配線を行って各信号伝搬経路の信号遅延時間を算出してもよい。
このように、本発明はここでは記載していない様々な実施の形態等を含むことは勿論である。したがって、本発明の技術的範囲は上記の説明から妥当な特許請求の範囲に係る発明特定事項によってのみ定められるものである。
本発明の第1の実施の形態に係るクロック配線装置の構成を示す模式図である。 本発明の第1の実施の形態に係るクロック配線装置によってクロック配線が行われる半導体集積回路の例を示す模式図である。 図2に示した半導体集積回路が備える遅延調整回路の例を示す模式図である。 図3に示した遅延調整回路の位相比較器の例を示す模式図である。 図3に示した遅延調整回路の時間測定回路の例を示す模式図である。 図3に示した遅延調整回路の遅延回路の例を示す模式図である。 本発明の第1の実施の形態に係るクロック配線方法を説明するためのフローチャートである。 本発明の第1の実施の形態に係るクロック配線方法によってクロック配線が行われた半導体集積回路の模式図である(その1)。 本発明の第1の実施の形態に係るクロック配線方法によってクロック配線が行われた半導体集積回路の模式図である(その2)。 本発明の第1の実施の形態に係るクロック配線方法によってクロック配線が行われた半導体集積回路の模式図である(その3)。 本発明の第1の実施の形態の変形例に係るクロック配線装置の構成を示す模式図である。 本発明の第1の実施の形態の変形例に係るクロック配線方法を説明するためのフローチャートである。 本発明の第2の実施の形態に係るクロック配線装置の構成を示す模式図である。 本発明の第2の実施の形態に係るクロック配線装置によってクロック配線が行われる半導体集積回路の例を示す模式図である。 本発明の第2の実施の形態に係るクロック配線方法を説明するためのフローチャートである。
符号の説明
10…回路素子配置部
11…遅延時間調整部
111…算出部
112…変更部
12…禁止指定部
13…クロックツリー合成部
14…判定部
15…固定遅延回路調整部
21…回路情報記憶領域
22…素子配置記憶領域
23…遅延時間記憶領域
24…レイアウト情報記憶領域
25…許容値記憶領域

Claims (5)

  1. 設計対象としての半導体集積回路上の信号伝搬経路の信号遅延時間を調整する遅延時間調整部と、
    前記信号伝搬経路の一部に対して回路変更を禁止する指定を行う禁止指定部と、
    前記指定に従って前記半導体集積回路のクロックツリー合成処理を行うクロックツリー合成部
    とを備えることを特徴とするクロック配線装置。
  2. 前記遅延時間調整部は、
    前記信号伝搬経路における信号遅延時間を算出する算出部と、
    前記信号伝搬経路の回路変更を行う変更部
    とを備えることを特徴とする請求項1に記載のクロック配線装置。
  3. 遅延時間調整部、禁止指定部及びクロックツリー合成部を備えるクロック配線装置において、
    前記遅延時間調整部が、回路情報記憶領域から設計対象としての半導体集積回路の回路情報を読み出し、該回路情報に基づき前記半導体集積回路上の信号伝搬経路の信号遅延時間を調整するステップと、
    前記禁止指定部が前記信号伝搬経路の一部に対して回路変更を禁止する指定を行い、該回路変更を禁止する指定がされた新たな回路情報を前記回路情報記憶領域に格納するステップと、
    前記クロックツリー合成部が、前記回路情報記憶領域から前記新たな回路情報を読み出し、該新たな回路情報に基づき前記半導体集積回路のクロックツリー合成処理を行うステップ
    とを含むことを特徴とする半導体集積回路の自動クロック配線方法。
  4. 前記半導体集積回路に含まれる複数の論理ブロック間のクロックスキュー差を低減するように設定された許容値を、前記複数の論理ブロック内のクロックスキューがそれぞれ満足するか否かを、前記クロック配線装置が備える判定部が判定するステップを更に含むことを特徴とする請求項3に記載の半導体集積回路の自動クロック配線方法。
  5. 前記クロック配線装置が備える固定遅延回路調整部が、前記半導体集積回路に含まれる複数の固定遅延回路における信号遅延時間をそれぞれ調整するステップを更に含むことを特徴とする請求項3又は4に記載の半導体集積回路の自動クロック配線方法。
JP2005116208A 2005-04-13 2005-04-13 クロック配線装置及びクロック配線方法 Expired - Fee Related JP4630718B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005116208A JP4630718B2 (ja) 2005-04-13 2005-04-13 クロック配線装置及びクロック配線方法
US11/402,525 US7543258B2 (en) 2005-04-13 2006-04-11 Clock design apparatus and clock design method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005116208A JP4630718B2 (ja) 2005-04-13 2005-04-13 クロック配線装置及びクロック配線方法

Publications (2)

Publication Number Publication Date
JP2006293856A true JP2006293856A (ja) 2006-10-26
JP4630718B2 JP4630718B2 (ja) 2011-02-09

Family

ID=37395404

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005116208A Expired - Fee Related JP4630718B2 (ja) 2005-04-13 2005-04-13 クロック配線装置及びクロック配線方法

Country Status (2)

Country Link
US (1) US7543258B2 (ja)
JP (1) JP4630718B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8063509B2 (en) * 2007-03-20 2011-11-22 Fujitsu Limited Power supply voltage adjusting apparatus, recording medium, and power supply voltage adjusting method

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7818705B1 (en) 2005-04-08 2010-10-19 Altera Corporation Method and apparatus for implementing a field programmable gate array architecture with programmable clock skew
JP2008140821A (ja) * 2006-11-30 2008-06-19 Matsushita Electric Ind Co Ltd 半導体装置および半導体装置の設計方法
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
EP2186025B1 (en) * 2007-09-10 2019-06-19 ABB Schweiz AG Configuring of intelligent electronic device
US8261218B1 (en) * 2008-08-01 2012-09-04 Altera Corporation Systems and methods for determining beneficial clock-path connection delays
US8225262B1 (en) * 2009-03-18 2012-07-17 Xilinx, Inc. Method of and system for placing clock circuits in an integrated circuit
US8205180B1 (en) * 2009-05-05 2012-06-19 Xilinx, Inc. Method of and system for generating a logic configuration for an integrated circuit
FR2963687A1 (fr) * 2010-08-06 2012-02-10 Dolphin Integration Sa Arbre d'horloge pour bascules commandees par impulsions
US9135389B2 (en) 2013-09-25 2015-09-15 United Microelectronics Corporation Clock skew adjusting method and structure
US10581417B2 (en) * 2017-09-29 2020-03-03 International Business Machines Corporation Skew sensor with enhanced reliability

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0798617A (ja) * 1993-05-20 1995-04-11 Hitachi Ltd クロック生成回路およびバスシステム
JPH09269847A (ja) * 1996-04-01 1997-10-14 Matsushita Electric Ind Co Ltd クロック分配回路およびそのレイアウト設計方法
JP2005093563A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 半導体集積回路およびその設計方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336940A (en) * 1992-08-07 1994-08-09 Vlsi Technology, Inc. Delay-compensated output pad for an integrated circuit and method therefor
JP2561037B2 (ja) * 1994-08-30 1996-12-04 日本電気株式会社 クロック信号分配回路
US7187742B1 (en) * 2000-10-06 2007-03-06 Xilinx, Inc. Synchronized multi-output digital clock manager
JP2002312411A (ja) * 2001-04-10 2002-10-25 Ricoh Co Ltd 論理合成装置および論理合成方法
US6782519B2 (en) * 2001-12-18 2004-08-24 Cadence Design Systems, Inc. Clock tree synthesis for mixed domain clocks
JP4119152B2 (ja) * 2002-04-17 2008-07-16 株式会社ルネサステクノロジ 半導体集積回路装置
US7096442B2 (en) * 2003-07-10 2006-08-22 Lsi Logic Corporation Optimizing IC clock structures by minimizing clock uncertainty
JP2005033089A (ja) * 2003-07-10 2005-02-03 Matsushita Electric Ind Co Ltd 半導体装置
JP4343073B2 (ja) 2004-09-17 2009-10-14 株式会社東芝 半導体装置
JP2006140928A (ja) 2004-11-15 2006-06-01 Toshiba Corp 半導体装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0798617A (ja) * 1993-05-20 1995-04-11 Hitachi Ltd クロック生成回路およびバスシステム
JPH09269847A (ja) * 1996-04-01 1997-10-14 Matsushita Electric Ind Co Ltd クロック分配回路およびそのレイアウト設計方法
JP2005093563A (ja) * 2003-09-12 2005-04-07 Matsushita Electric Ind Co Ltd 半導体集積回路およびその設計方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8063509B2 (en) * 2007-03-20 2011-11-22 Fujitsu Limited Power supply voltage adjusting apparatus, recording medium, and power supply voltage adjusting method

Also Published As

Publication number Publication date
JP4630718B2 (ja) 2011-02-09
US7543258B2 (en) 2009-06-02
US20060253821A1 (en) 2006-11-09

Similar Documents

Publication Publication Date Title
JP4630718B2 (ja) クロック配線装置及びクロック配線方法
US8205182B1 (en) Automatic synthesis of clock distribution networks
EP3382894B1 (en) Hold-time compensation using free metal segments
US7222318B2 (en) Circuit optimization for minimum path timing violations
JP2677256B2 (ja) 遅延最適化方法
US20060253823A1 (en) Semiconductor integrated circuit and method for designing same
JP2005513626A (ja) 混在する領域クロック用のクロックツリーシンセシス
US7917882B2 (en) Automated digital circuit design tool that reduces or eliminates adverse timing constraints due to an inherent clock signal skew, and applications thereof
JP2008140821A (ja) 半導体装置および半導体装置の設計方法
JP2007170959A (ja) 半導体集積回路とその設計方法
US6734693B2 (en) Semiconductor integrated circuit having a semiconductor storage circuit and a test circuit for testing the semiconductor storage circuit
JP2002373939A (ja) クロック分配回路の設計方法,設計装置および設計プログラム並びに同プログラムを記録したコンピュータ読取可能な記録媒体
JP2010087244A (ja) 半導体集積回路、半導体集積回路のレイアウト方法、及び半導体集積回路のレイアウトプログラム
Han et al. Buffer insertion to remove hold violations at multiple process corners
JP2013036960A (ja) 遅延スキャンテスト方法、半導体装置及び半導体装置の設計方法
JP2005277909A (ja) 論理回路設計システム、論理回路、論理回路設計方法およびそのプログラム
JP2009134500A (ja) 論理シミュレータと論理シミュレーション方法
US20090039953A1 (en) Asynchronous absorption circuit with transfer performance optimizing function
JP4845400B2 (ja) 半導体装置の設計方法および半導体装置
JP2014006564A (ja) 半導体集積回路の設計方法及び設計プログラム
US20090172627A1 (en) Design Structure for a Clock System for a Plurality of Functional Blocks
JP6428207B2 (ja) 設計方法、設計装置及びプログラム
US20100229144A1 (en) System and method for behavioral synthesis
JP2993488B2 (ja) 集積回路の設計方法、集積回路及び記憶媒体
US20150205898A1 (en) Design method and design apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101019

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101115

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees