JPH09246180A - アンチレフレクティブコーティング及びその堆積の方法 - Google Patents

アンチレフレクティブコーティング及びその堆積の方法

Info

Publication number
JPH09246180A
JPH09246180A JP8356530A JP35653096A JPH09246180A JP H09246180 A JPH09246180 A JP H09246180A JP 8356530 A JP8356530 A JP 8356530A JP 35653096 A JP35653096 A JP 35653096A JP H09246180 A JPH09246180 A JP H09246180A
Authority
JP
Japan
Prior art keywords
chamber
thickness
substrate
flow rate
refractive index
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8356530A
Other languages
English (en)
Other versions
JP3930932B2 (ja
Inventor
David Cheung
チュン デイヴィッド
Joe Feng
フェン ジョー
Judy H Huang
エイチ. ウォン ジュディ
Wai-Fan Yau
ヤウ ウェイ−ファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09246180A publication Critical patent/JPH09246180A/ja
Application granted granted Critical
Publication of JP3930932B2 publication Critical patent/JP3930932B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Materials For Photolithography (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 本発明は、アンチレフレクティブ層の堆積の
ための安定なプロセスを提供する。 【解決手段】 ヘリウムガスを用いてプラズマ励起シラ
ン酸化物プロセス、プラズマ励起シランオキシナイトラ
イドプロセス及びプラズマ励起シランナイトライドプロ
セスの堆積速度を下げる。また、ヘリウムはプロセスを
安定化するためにも用いられ、別々の膜を堆積できるよ
うにした。本発明はまた、プロセスパラメータを制御し
て、所望の光学挙動を得るための最適な屈折率、吸収率
及び厚さを変化させたアンチレフレクティブ層を生成す
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ウエハ処理中にお
けるフォトレジストの塗布の前のアンチレフレクティブ
層の堆積に関する。
【0002】本発明は、半導体ウエハのための装置及び
半導体ウエハのための処理に関する。特に、本発明はウ
エハ処理中のアンチレフレクティブ層の堆積に関する。
【0003】
【従来の技術】半導体産業に採用されているように、フ
ォトリソグラフィーは、光を用いてフォトレジスト材料
に集積回路のパターンをひきあるいはプリントするプロ
セスである。先ずフォトレジストをウエハ上に堆積さ
せ、次いで所望のパターンを有するマスクをフォトレジ
ストの上に置き、このマスクを露光する。マスクには透
明部分と不透明部分とがあり、透明部分が光を透過させ
る;このようにマスクの透明部分の下のフォトレジスト
を光と反応させる。典型的には、フォトレジストの露光
された部分は化学的に変化を生じる。酸浴や化学的気相
やイオン衝突により、フォトレジストの反応済みの部分
か未反応の部分かの一方を選択的に取り去ることができ
る。ここに更に行う処理のマスクとして働くフォトレジ
ストのパターンを用いて、減量エッチングにより恒久的
なデバイス構造体が形成される。
【0004】サブハーフミクロン領域におけるデバイス
の処理では、ステッパとして知られている露光デバイス
が、フォトリソグラフィーのステップで露光源として用
いられる。ステッパは一般に、モノクロ光(波長が単
一)を用いる。単一波長光を用いる事により、非常に精
致なパターンを形成することが可能である。しかし、ほ
とんどの基板表面の立体構造は、基板表面にメタルコン
タクトが存在することにより不均一が生じているため、
入射光の反射及び屈折の制御は困難である。基板の立体
構造が変化すれば反射及び屈折を変化させることにな
り、これに伴いフォトレジストに吸収される光の量も変
化する。その結果、精致なパターンが影響を受け、所望
の露光面積の寸法を変化させてしまう。
【0005】半導体デバイスの製造においては、線幅の
変動を最小に保持することが望ましく、それはフォトレ
ジストパターンの寸法精度が影響を受けるからである。
更に、製造者によっては、フォトリソグラフィーのステ
ップ実施後のフォトレジストパターンの寸法精度に+/
−5%を要求する場合もある。これと同等以上の精度を
実現するためには、2つのアプローチがとられる。両方
のアプローチとも、フォトレジスト層に付加する層の使
用を必然的に伴う。
【0006】第1のアプローチでは、入射光全てを完全
に吸収して反射も屈折も生じないようにするような膜を
用いる。このアプローチでは、比較的厚めの有機膜を用
いる。この有機膜の不利益としては、更に多くのステッ
プを必要とする点と、ポリマーベースであるためエッチ
ングが困難である点である。
【0007】第2のアプローチでは、フォトレジスト
(PR)/アンチレフレクティブ層(ARL)の界面と
ARL/基板の界面とでの反射を消すためにアンチレフ
レクティブ膜を用いて、エア/PRの界面での光干渉を
除去する。従来技術では、シリコンオキシナイトライド
をアンチレフレクティブ膜として用いてきた。しかし、
露光においては、窒化物膜のアミノ基がフォトレジスト
の酸と反応してフォトレジストの感度を減じてしまう。
この事により、フォトレジストのパターンが不正確にな
る。従って、フォトレジスト中の酸と反応しないよう
に、フォトレジストと両立する材料から成るアンチレフ
レクティブ膜が必要である。
【0008】ソニー社の技術者ら、Tohru Ogawa らは、
SPIE Vol.2197(1994) で「SiOXY:H、現在及び未
来の光学的リソグラフィーのための高性能アンチレフレ
クティブ層」の標題の論文を執筆し、薄膜の干渉の問題
に言及している。このソニー社の論文では、ARLをI
−線、KrF及びArFエキシマレーザーリソグラフィ
ーと共に用いることを教示する。これらのレーザーソグ
ラフィーに用いる露光波長はそれぞれ前掲の順に、36
5mm、248mm、193mmである。ソニー論文で
は、解像度を高くするため露光波長を短くすれば、フォ
トレジストと基板との界面からの反射が強くなってしま
うことを教示している。従って、定在波及び薄膜干渉効
果を減ずるためにARLが必要である。
【0009】ソニー社のARLは、フォトレジストとA
RLの界面からの反射光とARLと基板の界面からの反
射光の両方を消すものとして記載されている。このソニ
ー社の論文では、反射屈折率nと、吸収屈折率kと、厚
さtの値を決定するための複雑な等エネルギー等高線を
基礎とする手順を用いて、所望の打消が実現されると、
教示している。このソニー社の手順に従って、n、k、
tの値は、複数のフォトレジスト膜厚さに対する等エネ
ルギー等高線の共通領域を見出すことにより得られる。
このソニー社論文では、ARLに対する個々のn、k及
びtの値を開示するだけでこの論文中には特定されてい
ないが、アプライドマテリアルズ社の本発明者らは、こ
れらの値は反射光同士の間の180゜の位相シフトに対
応している事を見出している。しかし、彼等にはソニー
社の論文に記載された結果の実現は不可能であり、この
ソニー社のプロセスは安定していないものと考えられて
いる。
【0010】また、ソニー社は、最適なn、k、tの値
を有するARLを堆積するプロセスについての欧州特許
出願(出願番号:93113219.5号、公開番号:
EP0 558 087 A2)を出願している。このソ
ニー社の出願では、SiH4(シラン)とN2Oの比と、
この比が堆積したARLの光学的特性及び化学的特性に
どのような影響を与えるかを議論している。ソニー社の
出願ではまた、アルゴンをバッファガスとして用いるこ
とが教示される。
【0011】集積回路の製造においては、フォトリソグ
ラフィーの技術を用いて集積回路の層に対してパターン
を決める。典型的には、このようなフォトリソグラフィ
ーの技術では、フォトレジスト又は光感応性の材料が用
いられる。従来技術の処理では、先ずフォトレジストを
ウエハ上に堆積させ、次いで、所望のパターンを実現す
るための透明部分と不透明部分とがあるマスクをフォト
レジストの上に置く。このマスクを露光したとき、透明
部分が光を通してレジストのこの部分を露光し、マスク
の不透明な部分ではこのようにならない。光により化学
反応がフォトレジストの露光部分に生じる。適切な化学
的、化学的気相的、又はイオン衝突のプロセスにより、
フォトレジストの反応済みの部分か未反応の部分かの一
方を選択的に攻撃する。ここにウエハ上に残り次に行う
処理のマスクとして働くフォトレジストのパターンを用
いて、集積回路を更なるプロセスステップに供する。例
えば、材料を回路上に堆積し、回路をエッチングし、あ
るいはその他の既知のプロセスを行う。
【0012】表面構造の小さな集積回路デバイスの処理
では、例えば、臨界寸法が1/2ミクロン未満の表面構
造サイズに対して、ステッパとして知られている装置を
用いた洗練された技術を用いてフォトレジストのマスク
及び露出を行っている。このような小さな幾何関係の製
品に対するステッパは一般に、モノクロ光(波長が単
一)を用い、非常に精致なパターンを形成することが可
能としているが、しかし、基板上面の立体構造徐々に平
坦性が少なくなっていく。この非均等な立体構造により
モノクロ光の反射及び屈折が生じ、マスクの不透明部分
の下のフォトレジストの部分が露光されてしまうことに
なる。その結果、このような基板表面の局所的に異なる
立体構造により、フォトレジストの精致なパターンを変
えてしまうことがあり、その結果として形成される半導
体基板内の領域の寸法を変えてしまう。
【0013】半導体デバイスの製造では、線幅の変動や
その他の臨界的な寸法を最小に保持することが望まし
い。このような寸法の誤差は開回路や短絡につながり、
できた半導体デバイスを壊してしまう。その結果、現
在、製造者によっては、5%以内のフォトレジストパタ
ーンの寸法精度を要求する場合もある。この精度を実現
するためには、2つのアプローチがとられる。両方のア
プローチとも、フォトレジスト層に付加する層の使用を
必然的に伴う。
【0014】第1のアプローチでは、入射光全てを吸収
して反射も屈折を最小にするような、比較的厚めの有機
膜を用いる。この有機膜の不利益としては、更に多くの
ステップを必要とする点と、ポリマーベースであるため
エッチングが困難である点である。
【0015】第2のアプローチでは、フォトレジスト−
アンチレフレクティブ層の界面とアンチレフレクティブ
層−基板の界面とでの反射を消すためにアンチレフレク
ティブ膜を用いる。従来技術では、NH3 ガスを用いて
堆積したシリコンオキシナイトライド(SiON)をア
ンチレフレクティブ膜として用いてきた。しかし、露光
においては、SiON膜のアミノ基がフォトレジストの
酸と反応してフォトレジストの感度を減じてしまう。こ
の事により、フォトレジストのパターンが不正確にな
る。
【0016】Tohru Ogawa らによる、SPIE Vol.2197(19
94) 、722〜732頁の標題「SiOXY:H、現在
及び未来の光学的リソグラフィーのための高性能アンチ
レフレクティブ層」の論文では、薄膜の干渉の問題に言
及している。この論文では、アンチレフレクティブ層
(ARL)をI−線、KrF及びArFエキシマレーザ
ーリソグラフィーと関連して用いることを教示する。こ
れらのレーザーソグラフィーに用いる露光波長はそれぞ
れ前掲の順に、365mm、248mm、193mmで
ある。この論文では、露光波長を短くすれば、フォトレ
ジストと基板との界面からの反射が強くなってしまうこ
とを記載している。従って、定在波及び薄膜干渉効果を
減ずるためにARLが必要である。
【0017】
【発明が解決しようとする課題】このARLは、フォト
レジストとARLの界面からの反射光とARLと基板の
界面からの反射光の両方を消すものとして記載されてい
る。この論文では、所望の打消が実現するための、反射
屈折率n及び吸収屈折率kと厚さtの値を決定するため
の複雑な等エネルギー等高線を基礎とする手順を記載し
ている。このソニー社による手順に従って、これらのパ
ラメータは、複数のフォトレジスト膜厚さに対する等エ
ネルギー等高線の共通領域を見出すことにより得られ
る。この論文では、ARLに対する屈折率、吸収率、そ
して厚さの値を記載し、この論文中には特定していない
が、アプライドマテリアルズ社の本発明者らは、これら
の値は反射光同士の間の180゜の位相シフトに対応し
ていることを見出している。しかし、アプライドマテリ
アルズ者の技術者ではこの論文に記載された結果の実現
は不可能だったのであり、このソニー社のプロセスは安
定していないものと考えられている。
【0018】また、ソニー社は、選択されたパラメータ
を有するARLを堆積するプロセスについての欧州特許
出願(出願番号:93113219.5号、公開番号:
EP0 558 087 A2)を出願している。このソ
ニー社の出願では、SiH4(シラン)とN2Oの比と、
この比が堆積したARLの光学的特性及び化学的特性に
どのような影響を与えるかを議論している。ソニー社の
出願ではまた、アルゴンをバッファガスとして用いるこ
とが教示される。
【0019】
【課題を解決するための手段】本発明は、アンチレフレ
クティブ層の堆積のための安定なプロセスを提供する方
法を教示する。安定なプロセスのためにはARL膜は薄
い方がよいため、プロセスの堆積速度は低くある必要が
ある。本発明では、ヘリウムガスを用いてプラズマ励起
シラン酸化物プロセス、プラズマ励起シランオキシナイ
トライドプロセス及びプラズマ励起シランナイトライド
プロセスの堆積速度を下げることを教示する。ヘリウム
は化学気相堆積のキャリアガスとして周知であるが、こ
れを本発明で用いるのは、プロセスの堆積速度を制御す
るためである。ヘリウムガスを添加する目的の1つは、
多数のウエハプロセスにおいてウエハ間での薄膜の厚さ
を正確に制御することである。また、本発明ではヘリウ
ムを添加してプロセスを安定化させることにより、別々
の膜を堆積可能とし、また、堆積した膜の種類を良好に
制御してプロセスを生産可能なものとする。
【0020】また、本発明は、異なる露光波長及び基板
に対する所望の打消を得るための最適なn、k、tの値
が変化するARLを生成するため、プロセスパラメータ
を制御するための条件を決定する。本発明により説明さ
れるプロセスでは、シランとN2O の比に加えて、N2
とNH3(アンモニア)を用いて、堆積するARLの光
学特性及び化学特性を更に制御する。N2とNH3の効果
は、シランとN2OのARL性能(例えば低温での)へ
の効果が最小ないしほとんどない場合のプロセス状態で
特に支配的である。本発明は、プロセスにアンモニアと
2 を添加して膜の組成を変化させ、n及びkの自由度
及び精密な調節を可能とすることを教示する。更に、こ
のプロセスは、アルゴンよりもコストの効率のよいヘリ
ウムの使用にも適合する。ヘリウムを用いることによ
り、堆積したARL層の応力制御も良好に行うことがで
きるようになり、堆積後に基板から剥離を生じ得るよう
な膜の過剰な引っ張りを防止する。
【0021】上述のプロセスに適用されるように、ヘリ
ウムを添加することにより、プラズマ安定性も実現さ
れ、均一な膜の堆積を確保する。更に、ヘリウムの添加
により、ARL堆積プロセスの制御が可能になり、最適
なn、k、tの値を有する露光波長範囲190〜900
nmに対する実際のプロセスパラメータの中でデベロッ
プすることが可能となる。このことは非常に望ましいこ
とであり、それは、別々の露光波長に対する反射光の打
ち消しが、種々の因子−入射光波長、位相シフト(AR
Lの厚さによって決定される)及び反射光の強度(AR
Lの化学組成により決定される)に依存するためであ
る。即ち、ARLの光学特性及び化学特性を良好に制御
することは、所望の打ち消しを実現するために必要であ
る。
【0022】本発明では、相殺的干渉方程式を用いて、
位相シフトが180゜より大きい場合(例えば540
゜、900゜等)に対する最適なn、k及びtの値を決
定する(本発明によりn、k、tの値を決定する計算方
法は、Appendix Aに示され、更に説明するこ
とにする)。反射波長間で540゜以上の位相シフトを
ARLが生じさせる場合は、そのtの値が更に高くな
り、これは即ちkの値が高くなるということであり、そ
れはARLが更に多くの屈折光を吸収しなければならな
くなるからである。
【0023】具体的には、具体例の1つでは、本発明
は、反射光間の位相シフトが180゜ではなく540゜
以上を実現するような、最適な反射屈折率n、最適な吸
収屈折率k及び最適な厚さtの値を有する、ARLの処
理を教示する。前述の如く、このプロセスにより生成し
たARLは、フォトレジストと適合し、また、薄膜干渉
効果に関連する問題を取り除く。
【0024】(課題を解決するための手段−2)本発明
は、アンチレフレクティブ層を堆積するための装置及び
プロセスを提供する。ARL膜は薄いため、安定なプロ
セスを得るためには、プロセスの堆積速度は低いことが
望ましい。本発明は、プラズマ励起シラン酸化物プロセ
ス、プラズマ励起シランオキシナイトライドプロセス及
びプラズマ励起シランナイトライドプロセスの堆積速度
を下げるための装置及びプロセスを提供する。好ましい
具体例では、ヘリウムガスが用いられる。ヘリウムは化
学気相堆積のキャリアガスとして周知であるが、これを
本発明で用いるのは、プロセスの堆積速度を制御するた
めだからである。ヘリウムを添加することにより、特に
装置操作が長期間行われた場合に、薄膜の厚さを更に正
確に制御できるようになる。また、ヘリウムはプロセス
を安定化し、別々の膜を堆積できるようにし、堆積した
膜が良好に制御されるようになる。
【0025】また、本発明は、異なる露光波長及び基板
に対する所望の打消を得るための最適な屈折率、吸収率
及び厚さの種々の値を有するARLを生成するため、プ
ロセスパラメータを制御するための条件を決定する。具
体例の1つでは、本発明により説明される装置及びプロ
セスでは、シランとN2O の比に加えて、N2とNH3
用いて、堆積するARLの光学特性及び化学特性を更に
制御する。N2とNH3の効果は、シランとN2Oの、例
えば低温でのARL性能への効果が最小ないしほとんど
ない場合のプロセス状態で特に支配的である。本発明
は、プロセスにNH3 とN2 を添加して膜の組成を変化
させ、屈折率及び吸収率の自由度及び精密な調節を可能
とすることを教示する。更に、このプロセスは、アルゴ
ンよりもコストの効率のよいヘリウムの使用にも適合す
る。ヘリウムを用いることにより、堆積したARL層の
応力制御を改善することが可能ようになる。この事は、
堆積後に基板から剥離を生じ得るような膜の過剰な引っ
張りを防止することを助力する。
【0026】上述のプロセスに適用されるように、ヘリ
ウムを添加することにより、プラズマ安定性も実現さ
れ、均一な膜の堆積を確保する。更に、ヘリウムによ
り、ARL堆積プロセスの充分な制御が与えられ、最適
な屈折率、吸収率、厚さの値を有する露光波長範囲19
0〜900nmに対する実際のプロセスパラメータの中
でデベロップすることが可能となる。このことは非常に
望ましいことであり、何故なら、別々の露光波長に対す
る反射光の打ち消しが、種々の因子:入射光波長、位相
シフト(ARLの厚さによって決定される)及び反射光
の強度(ARLの化学組成により決定される)に依存す
るためである。即ち、ARLの光学特性及び化学特性を
制御することは、所望の打ち消しを実現するために必要
である。
【0027】本発明では、相殺的干渉方程式を用いて、
位相シフトが180゜より大きい場合(例えば540
゜、900゜等)に対する最適な屈折率、吸収率及び厚
さの値を決定する(本発明により屈折率n、吸収率k、
厚さtの値を決定する計算方法は、後述の通りであ
る)。反射光間で540゜以上の位相シフトをARLが
生じさせる場合は、その厚さの値が更に高くなり、これ
は即ち吸収率の値が高くなるということであり、何故な
らARLが更に多くの屈折光を吸収しなければならなく
なるからである。具体例の1つでは、本発明は、反射光
間の位相シフトが540゜以上を実現する最適な反射屈
折率n、最適な吸収屈折率k及び最適な厚さtの値を有
する、ARLを提供する。
【0028】
【発明の実施の形態】
(好ましい具体例の説明−1)本発明に従ってARLを
堆積するための堆積プロセスは、プラズマ励起化学気相
堆積法(PECVD)技術を用いて、SiH4 対N2
の比が1.0〜3.0である場合にSiH4 とN2O の
間の化学反応を引き起こす操作を有している。このプロ
セスは更に、NH3 ガス、N2 ガス及びヘリウムガスを
添加する操作を有している。図1は、本発明に従ってア
ンチレフレクティブ層を堆積するための真空チャンバ1
5を有する、簡略化された平行板PECVDシステム1
0の1つの具体例を例示する。
【0029】システム10は、基板(図示せず)に堆積
ガスを散布するためのガス散布マニホールド11を有し
ている。基板は支持体12により支持されている。支持
体12は熱応答性が高く且つサポート13に載置されて
おり、そのため、支持体12(及び支持体12の上面に
支持される基板)は、下側の搬入搬出のポジションとマ
ニホールド11に近接する上側の処理のポジション14
との間を調節しつつ動くことが可能である。
【0030】実現しようとするn、k及びtの値に依存
するが、支持体12とマニホールド11の間の間隔は2
00〜650milsの範囲内であり、基板温度は20
0〜400℃の範囲内、チャンバ圧力は1〜6トールに
設定され維持される。変化する最適なn、k及びtの値
を有するARLをこれらのプロセスパラメータの範囲内
で、190nm〜900nmの間のいかなる露光波長に
対し堆積することが可能である:そして、別々の波長に
対する別々の最適なn、k及びtの値を、これらパラメ
ータと、SiH4ガス、N2Oガス、NH3ガス、N2ガス
及びヘリウムガスのチャンバ内に導入する流量とを変化
させることにより、同じように実現される。しかし、こ
れらの範囲の中で、間隔の好ましい範囲は400〜60
0milsである。基板温度に対しては、好ましい範囲
は300〜400℃、チャンバ圧力の好ましい範囲は
4.5〜5.5トールである。
【0031】支持体12及びウエハが処理のポジション
14にあるときは、これらは、環状の真空マニホールド
24の中へと排気するための、間隔をおく複数の穴23
を有するバッフル板により、包囲される。図示しない制
御弁を有するライン18を介して、堆積ガスがガス混合
チャンバ19内へと供給され、そこでこれらが混合され
マニホールド11へと送られる。ヘリウムは通常はキャ
リアガスとして知られているが、本プロセスでの使用
は、プロセスのパラメータの制御のためのものである。
後述するが、使用するヘリウムの量は、堆積するARL
の光学特性と化学特性に影響する。更に、ヘリウムの助
力により、膜の化学組成を変えずに所望のチャンバ圧力
を実現するため、プロセスの安定性が確保され、これは
即ち均一な膜の堆積を確保することになる。堆積するA
RLは非常に薄い膜であるため、厚さ制御も大変重要で
あり、所望の厚さの実現には低い堆積速度が必要であ
る。また、ヘリウムの添加により堆積速度も下がるた
め、膜特性の制御に加えて、厚さの制御も可能となる。
【0032】処理中は、ガス流入マニホールド11の通
気は、矢印22及び21に示されるように、基板の表面
に向かって基板表面全体に放射状に均一になされてい
る。シランとN2O は共に流量5〜300sccm(前
述の如く、シラン対N2O の比は1.0〜3.0)で導
入される。所望のn、k及びtの値とプロセス領域によ
っては、アンモニア、N2 及びヘリウムを添加してもよ
くあるいは添加しなくてもよい。n、k及びtの値が広
い範囲であることが望ましい場合は、アンモニア、N2
及びヘリウムをプロセスに添加し、アンモニア5〜20
0sccm、N210〜4000sccm、ヘリウム5
00〜4000sccmでチャンバ内に導入される。付
加するガスの量によっても、広い範囲でn、k、tの値
を細かく調節するためのフレキシビリティが高くなる。
これらの範囲の中で、チャンバへのシラン導入の好まし
い範囲は40〜80sccmであり;N2O の好ましい
範囲は20〜90sccm;アンモニアの好ましい範囲
は0〜150sccm;N2の好ましい範囲は0〜30
0sccm;ヘリウムの好ましい範囲は1800〜35
00sccmである。反応が完結した後、残留するガス
をポート23を介して円形真空マニホールド24へと排
気し、真空ポンプシステム(図示せず)により排気ライ
ン31の外へと排気される。排気ライン31を介してガ
スを放出する速度は、絞り弁32により調節される。
【0033】RF電源25からマニホールド11にRF
エネルギーを印加することにより、制御されたSiH4
とN2Oのプラズマが基板近隣に形成される。ガス散布
マニホールド11はRF電極でもあり、他方、支持体1
2にはアースがとられている。RF電源25は、50〜
500ワット(又はその他の所望の変形)の電力をマニ
ホールド11へ供給して、チャンバ15へ導入されるS
iH4とN2Oの分解の速度を遅くすることもでき、ある
いは促進することもできる。
【0034】円形の外部ランプモジュール26が、コリ
メート円形パターンの光27を、クオーツウィンドウ2
8を介して支持体12へと与える。このような熱の分布
により、支持体の自然熱損失パターンを補填し、堆積の
ため、熱的に迅速で且つ均一に、支持体及び基板を加熱
する。モータ(図示せず)により、支持体12は処理の
ポジション14と下側の基板搬送ポジションとの間で昇
降する。モータ、ライン18に接続した制御弁、絞り弁
及びRF電源25は、制御ラインの上のプロセッサ34
によって制御され、これらの一部だけが示されている。
プロセッサ34は、メモリ38に格納されたコンピュー
タプログラムの制御下で動作する。コンピュータプログ
ラムは、時期、ガスの混合、チャンバ圧力、チャンバ温
度、RF電力レベル、支持体のポジション、その他のプ
ロセスのパラメータを命令する。
【0035】上記の説明は例示のためだけのものであ
り、本発明の範囲を制限するものと考えるべきではな
い。上述のシステムの変形、例えば支持体の設計、ヒー
タ設計、RF電力接続の配置及びその他に関する変形が
可能である。更に、他のプラズマCVD装置、例えば電
子サイクロトロン共鳴(ECR)プラズマCVD装置、
誘導結合RF高密度プラズマCVD装置、その他の装置
を用いてもよい。本発明のARL及びこの層を形成する
方法は、特定の装置や特定のプラズマ励起法に制限され
るものではない。
【0036】同様に、プロセスの堆積速度を制御するた
め及びプロセスの安定化のヘリウムの使用は、一般的な
薄膜堆積に適用でき、ARL膜の堆積に制限されない。
具体的には、既存のプラズマ励起シラン酸化物プロセ
ス、プラズマ励起シランオキシナイトライドプロセス及
びプラズマ励起シランナイトライドプロセスの堆積速度
を下げるために用いることができる。好ましい具体例で
はヘリウムを用いているが、ヘリウムの代りに他の不活
性ガスを用いてもよい。
【0037】図2は、フォトリソグラフィープロセス中
に多層半導体デバイスの表面に入る入射光ビームの反射
光及び屈折光の典型的な経路を示す縦断面図である。図
2に示されるように、デバイスに入るあらゆる入射光ビ
ームに対して、フォトレジスト層とARLの間の反射及
びARLと基板の間の別の反射により、フォトレジスト
パターンが歪められてしまう。
【0038】図3では、点線の光路が、本発明に従った
ARLの機能を例示する。図示の如く、光線4と7(こ
れらは強度がほぼ等しく位相差が540゜以上)とが相
互に実質的に打ち消し合い、光線5と6とがARLに吸
収されるだろう。即ち、フォトレジストに影響する光
は、光線3からの入射光のみである。前述の如く、本発
明に従ったARLはあらゆるフォトレジストに適合する
ため、フォトレジストが中和される問題を排除する。更
に、別々の最適なn、k、tの値を有するARLを実現
して、190〜900nmの別々の露光波長の反射を打
ち消す。
【0039】図4は、本発明のアンチレフレクティブ層
堆積のためのプロセスにおける、別々のプロセスパラメ
ータの効果を示すチャートである。前述の如く、別々の
パラメータを変えることにより、堆積したARLの性質
を変えることができる。このチャートで示されるよう
に、基板温度の上昇により、堆積したARLの反射屈折
率n、吸収屈折率k、厚さt、及び反射率rが上昇する
だろう。同様に、チャンバに流入する全ガス流れの上昇
又はチャンバにSiH4 が導入される流量の上昇によ
り、堆積したARLのn、k、t及びrの値も上昇する
だろう。
【0040】他方、チャンバ19の圧力の上昇又は支持
体12とマニホールド11の間の間隔の増加は、堆積し
たARLのn、k、t及びrの値を下げる効果がある。
あるいは、RF電源25に供給される電力を上げてプラ
ズマを更に余計に発生させることは、堆積したARLの
n、k及びrの値を下げる一方で堆積したARLの厚さ
を上げる効果がある。チャンバ19内がN2O又はN2
入される流量を上げることによっても、同じ効果を実現
することができる。しかし、堆積したARLのn、k及
びrの値を上げる一方で堆積したARLの厚さを下げる
という正反対の効果は、チャンバ19にヘリウムを導入
する流量を上げることによって実現される。最後に、チ
ャンバ19内に導入されるNH3 の量を上げて、n及び
tの値を上げつつもk及びrの値を下げることができ
る。
【0041】以下のARLの議論は、Appendix
Aに示される計算を説明するものである。これらの計
算は、一例が上述されているようなプラズマ励起CVD
技術によるSiON膜の堆積に関するものである。この
計算から得られる値は、露光波長約248nmに対する
ものである。この波長においては、このプロセスで堆積
するARLのnの値は1.7〜2.4であり、kの値は
0〜1.3である。
【0042】有効なARLは、フォトレジスト(PR)
の厚さが変動した場合のPRに吸収される光の変動を最
小にする。この事は、PRとARLの界面から反射する
光の実質的な打ち消し、即ち図2に示されるように光線
4及び7の実質的な打ち消しを要求する。以下の2つの
要求事項が、光線4及び7に対して同時に満たされたと
きに、実質的な打ち消しが実現される: (1)光線4と7の位相差が、180゜の奇数倍に近い
こと、(2)光線4と7の強度がほぼ同じであること。
【0043】上述の要求事項の最初の方は、式1で表さ
れる相殺的干渉方程式によって記述される。第2番目の
式は、光線4及び7の強度を整合するための条件を記述
する。
【0044】所与の基板及びフォトレジストに対して、
式1及び2で表される条件1及び2は、ARL膜のn、
k及び厚さの適切な選択と同時に満足することができ
る。ソニー社の研究者は、彼等の最適化の手法により、
m=1(位相差が180゜に対応)の場合のAl、W−
Si及びポリシリコンに対する解を記載した。しかし、
m=3(位相差540゜)、m=5(位相差900゜)
及びこれよりも高い180゜の奇数倍の位相差に対する
解は研究されていない。このような高い位相差の条件
は、式1を満足するためにはm=1の場合に比べてより
厚いARLの厚さを要求する。ARLが厚くなるため、
式2を満たすためには別のn及びkの値が必要である。
この別のn及びkはの値は本発明により、500〜30
00オングストロームの範囲のARLの厚さに対して実
現できる。
【0045】より厚いARLに対してn及びkの値を実
現することが望ましく、それは、厚さが大きくなること
が、ウエハとウエハの膜の一致性を実現するためのAR
L製造上における大きな利点となるからである。膜が厚
くなれば、薄い膜よりも長い堆積時間が必要であること
から、膜のn、k及び厚さを良好に制御することが可能
である。例えば、ソニー社の研究者が示唆する膜厚は、
250オングストローム附近である。堆積速度が毎分2
000オングストロームとすれば、堆積時間は7.5秒
である。この時間にはプラズマの点火及び消失のための
時間が含まれる。これらは堆積プロセスの中で良好に制
御できない部分であり、これが一般に、ウエハ間の厚さ
の変動やn及びk等の膜の性質の変動に寄与することと
なる。750オングストロームの膜厚を用いる場合は、
膜堆積時間は22.5秒に増え、プラズマの点火及び消
失の堆積時間への部分的な寄与は3倍減る。プラズマの
点火及び消失の堆積時間への部分的な寄与がこのように
小さくなることにより、250オングストロームの膜に
比べて、ウエハとウエハの間のn、k及び厚さの変動が
実質的に改善される。この内容は、m=3のみに限定さ
れない。これは、180゜の5倍、7倍及び全ての奇数
倍の位相差における式1及び2に対する解に対して有効
である。
【0046】ハードマスクを要求する用途に対しては、
基板上に残されたARL膜をエッチングステップにおけ
るハードマスクとして用いることが可能となる。これ
は、膜厚が、ARL層を完全に侵食せずに基板のエッチ
ングを可能とするよう充分に厚い場合に、可能である。
即ち、これは式1及び2に対する高次の奇数倍の解に対
応したn及びkの値を有する厚いARL膜を用いる場合
の、別に見込まれる利点となる。
【0047】Appendix Aは、SiONについ
て248nmにおける式1及び2の位相差180゜の奇
数倍に対する解に対応したn、k及び厚さの値の例を列
挙する。PRに対するn及びkの値はそれぞれ、1.8
0と0.011と仮定する。Al、Al−Si、Al−
Si−Cu及びAl−Cuに対するnとkの値はそれぞ
れ、0.089と2.354と仮定する。最後に、W−
Siのnとkの値をそれぞれ、1.96と2.69と仮
定する。この問題は式が2つで未知数が3つであるた
め、n、k又は厚さの1つの値を選択してから、残りの
2つの未知数を計算することができる。上記の堆積プロ
セスで最適化したSiONのARL膜のnの値は248
nmに対して常に2.2〜2.3附近であるため、以下
の計算全体では、nの値をこの範囲にあるように選択す
る。kの値は上記のプロセスで広い範囲で調節可能であ
ることから、kの値は制限しない。下記に与える解は、
単純化したモデルのために、ARLに対する厳密な最適
値ではない。例えば、Alの自然酸化物やW−Siを単
純に無視しており、また、その厚は常に10〜20オン
グストロームの範囲にある。また、ARL膜のn及びk
の値は、膜の厚さ全体について一定であると仮定する。
このように、式1及び2に対する解は、所望のARL膜
のn、k及び厚さに対するガイドラインを与えるのみで
ある。特定の用途に対するn、k及び厚さの正確な値
は、式1及び2からの解の値近くに最適化することによ
り、実験的に決定される。
【0048】m=3について、深いUV(248nm)
フォトリソグラフィーを有するAl基板に対して、nの
適切な値は2.3附近であり、kの適切な値は0.3附
近、適切な厚さの値は800オングストローム附近であ
る。これらの解は位相差540゜の8゜の範囲内に対し
て式1を満たすことが示されている。式2に対しては、
光線4及び7の間の強度の差は、入射強度の5%附近で
ある。m=3について、深いUVフォトリソグラフィー
を有するW−Si基板に対しては、nの適切な値は2.
3附近であり、kの適切な値は0.3附近、適切な厚さ
の値は800オングストローム附近である。これらの解
は位相差540゜から8゜の範囲内に対して式1を満た
すことが示されている。式2に対しては、光線4及び7
の間の強度の差は、入射強度の5%未満である。
【0049】m=5について、深いUVフォトリソグラ
フィーを有するAl基板に対して、nの適切な値は2.
3附近であり、kの適切な値は0.17附近、適切な厚
さの値は1350オングストローム附近である。これら
の解は位相差900゜の8゜の範囲内に対して式1を満
たすことが示されている。式2に対しては、光線4及び
7の間の強度の差は、入射強度の5%附近である。m=
5について、深いUVフォトリソグラフィーを有するW
−Si基板に対しては、nの適切な値は2.3附近であ
り、kの適切な値は0.18附近、適切な厚さの値は1
350オングストローム附近である。これらの解は位相
差900゜の8゜の範囲内に対して式1を満たすことが
示されている。式2に対しては、光線4及び7の間の強
度の差は、入射強度の5%未満である。
【0050】m=7について、深いUVフォトリソグラ
フィーを有するAl基板に対して、nの適切な値は2.
3附近であり、kの適切な値は0.13附近、適切な厚
さの値は1900オングストローム附近である。これら
の解は位相差1260゜の8゜の範囲内に対して式1を
満たすことが示されている。式2に対しては、光線4及
び7の間の強度の差は、入射強度の5%附近である。m
=7について、深いUVフォトリソグラフィーを有する
W−Si基板に対しては、nの適切な値は2.3附近で
あり、kの適切な値は0.13附近、適切な厚さの値は
1900オングストローム附近である。これらの解は位
相差1260゜の8゜の範囲内に対して式1を満たすこ
とが示されている。式2に対しては、光線4及び7の間
の強度の差は、入射強度の5%未満である。
【0051】m=9について、深いUVフォトリソグラ
フィーを有するAl基板に対して、nの適切な値は2.
3附近であり、kの適切な値は0.10附近、適切な厚
さの値は2430オングストローム附近である。これら
の解は位相差1620゜の8゜の範囲内に対して式1を
満たすことが示されている。式2に対しては、光線4及
び7の間の強度の差は、入射強度の5%附近である。m
=9について、深いUVフォトリソグラフィーを有する
W−Si基板に対しては、nの適切な値は2.3附近で
あり、kの適切な値は0.10附近、適切な厚さの値は
2430オングストローム附近である。これらの解は位
相差1620゜の8゜の範囲内に対して式1を満たすこ
とが示されている。式2に対しては、光線4及び7の間
の強度の差は、入射強度の5%未満である。
【0052】m=11について、深いUVフォトリソグ
ラフィーを有するAl基板に対して、nの適切な値は
2.3附近であり、kの適切な値は0.081附近、適
切な厚さの値は2965オングストローム附近である。
これらの解は位相差1980゜の8の範囲内に対して式
1を満たすことが示されている。式2に対しては、光線
4及び7の間の強度の差は、入射強度の5%附近であ
る。m=11について、深いUVフォトリソグラフィー
を有するW−Si基板に対しては、nの適切な値は2.
3附近であり、kの適切な値は0.081附近、適切な
厚さの値は2965オングストローム附近である。これ
らの解は位相差2965゜の8゜の範囲内に対して式1
を満たすことが示されている。式2に対しては、光線4
及び7の間の強度の差は、入射強度の5%未満である。
【0053】m=13、15、17等の高次の奇数倍に
対する解は、式1を満たすARLの厚さを厚くする事を
伴うことが見出されている。この厚さの増加により、式
2を満たす適切なkの値が決定できる。
【0054】Appendix Bは、用いる種々のプ
ロセスパラメータの好ましい範囲の幾つかと、堆積した
ARLの化学的性質及び光学的性質に対するシランと、
アンモニアと、温度の効果を示す特性チャートである。
このチャートの後のグラフ(図11〜25)は、反射率
対波長、n対波長及びk対波長が、シラン流量、支持体
とマニホールドの間隔、チャンバ圧力、RF電力、N2
O 流量、N2 流量、ヘリウム流量、全ガス流量、温度
が、中心値から変動した場合に、どのように変動するか
を示している。シラン流量、支持体とマニホールドの間
隔、チャンバ圧力、RF電力、N2O 流量、N2 流量、
ヘリウム流量、全ガス流量、温度の中心値は、それぞれ
順に、51sccm、500mils、4.6トール、
160ワット、30sccm、200sccm、200
0sccm、350℃である。これらの値は、これらの
プロセスパラメータの1つが変化したときに一定に保た
れているそれぞれのプロセスパラメータの値にも対応し
ている。
【0055】Appendix Cは、チャンバ圧力、
RF電力、支持体とマニホールドの間隔、シラン流量、
2O 流量、ヘリウム流量、N2 流量が、アンチレフレ
クティブ層を堆積するためのプロセスの堆積速度に与え
る影響と、堆積したアンチレフレクティブ層のn、k及
び均一性に与える影響とを示している。これらのグラフ
では、これらが変化しない場合では、シラン流量、支持
体とマニホールドの間隔、チャンバ圧力、RF電力、N
2O 流量、N2 流量、ヘリウム流量、全ガス流量、温度
が、中心値から変動した場合に、どのように変動するか
を示している。シラン流量、支持体とマニホールドの間
隔、チャンバ圧力、RF電力、N2O 流量、N2 流量、
ヘリウム流量、温度は、それぞれ順に、51sccm、
500mils、4.6トール、160ワット、30s
ccm、200sccm、2000sccm、350℃
で一定に維持される。
【0056】当業者に理解されるように、本発明の範囲
及び本質的な特徴から離れることなく、本発明を別の特
定の形態に具体化することが可能である。従って、前出
の説明は好ましい具体例を例示するためのものであり、
特許請求の範囲が本発明の範囲を述べると解されるべき
である。
【0057】(特定の具体例の説明−2)本発明に従っ
てARLを堆積するためのプロセスの好ましい具体例
は、ヘリウムの存在下で、SiH4 対N2O の比が0.
5〜3.0、好ましくは1.0である場合のSiH4
2O の間の化学反応を引き起こための、プラズマ励起
化学気相堆積法(PECVD)技術のための装置及びこ
の技術の使用を有している。このプロセスは更に、NH
3 ガス、N2 ガス及びHeガスを添加する操作を有して
いる。図1は、本発明に従ってアンチレフレクティブ層
を堆積するための真空チャンバ15を有する、簡略化さ
れた平行板PECVDシステム10の1つの具体例を例
示する。
【0058】システム10は、支持体12上に平坦に置
かれている基板(図示せず)に堆積ガスを散布するため
のガス散布マニホールド11を有している。支持体12
は熱応答性が高く且つサポート13に載置されており、
そのため、支持体12(及び支持体12の上面に支持さ
れる基板)は、下側の搬入搬出のポジションと点線で表
されるマニホールド11に近接する上側の処理のポジシ
ョン14との間を調節しつつ動くことが可能である。
【0059】実現しようとする所望の屈折率、吸収率及
び厚さの値に依存するが、支持体12とマニホールド1
1の間の間隔は200〜600milsの範囲内であ
り、基板温度は200〜400℃の範囲内、チャンバ圧
力は1〜6トールに維持される。変化する屈折率、吸収
率及び厚さの値を有するARLをこれらのプロセスパラ
メータの範囲内で、190nm〜900nmの間のいか
なる露光波長に対し堆積することが可能である:そし
て、別々の波長に対する別々の最適な屈折率、吸収率及
び厚さの値を、これらパラメータと、SiH4ガス、N2
Oガス、NH3ガス、N2ガス及びHeガスのチャンバ内
に導入する流量とを変化させることにより、同じように
実現される。これらの範囲の中で、間隔の好ましい範囲
は400〜600milsである。基板温度に対して
は、好ましい範囲は300〜400℃、チャンバ圧力の
好ましい範囲は4.5〜5.5トールである。
【0060】支持体12及びウエハが処理のポジション
14にあるときは、これらは、環状の真空マニホールド
24の中へと排気するための、間隔をおく複数の穴23
を有するバッフル板17により、包囲される。制御弁
(図示せず)を有するライン18を介して、堆積ガスが
ガス混合チャンバ19内へと供給され、そこでこれらが
混合されマニホールド11へ供給される。Heはキャリ
アガスとして知られているが、本プロセスでの使用は、
プロセスのパラメータの制御のためのものである。後述
するが、使用するHeの量は、堆積するARLの光学特
性と化学特性に影響する。更に、Heの助力により、膜
の化学組成を変えずに所望のチャンバ圧力を実現するた
め、プロセスの安定性が確保され、これは即ち均一な膜
の堆積を確保することになる。堆積するARLは薄い膜
であるため、厚さ制御は大変重要であり、所望の厚さの
実現には低い堆積速度が必要である。また、Heの添加
により堆積速度が下がるため、膜特性の制御に加えて、
厚さの制御も可能となる。
【0061】処理中は、ガス流入マニホールド11の通
気は、ガスなあれを表す矢印22及び21に示されるよ
うに、基板の表面に向かって基板表面全体に放射状に均
一になされている。SiH4 とN2O は共に流量5〜3
00sccmで、SiH4 対N2O の比が0.5〜3.
0、好ましくは1.0で導入される。所望の屈折率、吸
収率及び厚さの値とプロセス領域によっては、後述の如
くNH3 、N2 及びHeを添加してもよい。屈折率、吸
収率及び厚さの値が広い範囲であることが望ましい場合
は、NH3 、N2 及び更にHeをプロセスに添加し、N
3 0〜300sccm、N2 0〜4000sccm、
He5〜5000sccmでチャンバ内に導入される。
これらの範囲の中で、チャンバへのSiH4 導入の好ま
しい範囲は15〜160sccmであり;N2O の好ま
しい範囲は15〜160sccm;NH3 の好ましい範
囲は0〜300sccm;N2 の好ましい範囲は0〜5
00sccm;Heの好ましい範囲は500〜4000
sccmである。反応が完結した後、残留するガスをポ
ート23を介して円形真空マニホールド24へと排気
し、排気ライン(図示せず)を介して外へと排気され
る。これらガスの最適な値は、SiH4 40〜12sc
cm、NH3 30〜120sccm、N2 1500〜2
500sccm、N2O 0〜300sccm、NH3
〜150sccmである。これらは、アプライドマテリ
アルズ社により製造した8インチチャンバに対する値で
ある。その他のサイズのチャンバやその他の材料製のチ
ャンバでは、これらの値が異なるだろう。
【0062】RF電源25からマニホールド11にRF
エネルギーを印加することにより、制御されたSiH4
とN2Oのプラズマが基板近隣に形成される。ガス散布
マニホールド11はRF電極でもあり、他方、支持体1
2にはアースがとられている。RF電源25は、50〜
500ワットの電力をマニホールド11へ供給して、チ
ャンバ15に導入したSiH4とN2Oの分解の速度を遅
くすることもでき、あるいは促進することもできる。
【0063】円形の外部ランプモジュール26が、コリ
メート円形パターンの光27を、クオーツウィンドウ2
8を介して支持体12へと与える。このような熱の分布
により、支持体の自然熱損失パターンを補填し、堆積の
ために迅速で且つ均一に支持体及び基板を加熱する。モ
ータ(図示せず)により、支持体12は処理のポジショ
ン14と下側の基板搬送ポジションとの間で昇降する。
【0064】モータ、ライン18に接続した制御弁及び
RF電源25は、制御ラインの上のプロセッサ34によ
って制御され、これらの一部だけが示されている。これ
らの制御ラインを用いて、プロセッサがARL堆積のプ
ロセス全体を制御する。プロセッサ34は、メモリ38
に格納されたコンピュータプログラムの制御下で動作す
る。コンピュータプログラムは、時期、ガスの混合、チ
ャンバ圧力、チャンバ温度、RF電力レベル、支持体の
ポジション、その他のプロセスのパラメータを命令す
る。典型的には、プロセッサが、SiH4とN2Oを有す
る第1のプロセスガスをチャンバに導入しHeを有する
第2のプロセスガスをチャンバに導入するように、コン
ピュータ読み出し可能情報をメモリが有している。
【0065】上記の説明は例示のためだけのものであ
り、本発明の範囲を制限するものと考えるべきではな
い。上述のシステムの変形、例えば支持体の設計、ヒー
タ設計、RF電力接続の配置等に関する変形が可能であ
る。更に、他のプラズマCVD装置、例えば電子サイク
ロトロン共鳴(ECR)プラズマCVD装置、誘導結合
RF高密度プラズマCVD装置、その他の装置を用いて
もよい。本発明のARL及びこの層を形成する方法は、
特定の装置や特定のプラズマ励起法に制限されるもので
はない。
【0066】同様に、プロセスの堆積速度を制御するた
め及びプロセスの安定化のHeの使用は、一般的な薄膜
堆積に適用でき、ARL膜の堆積に制限されない。具体
的には、既存のプラズマ励起シラン酸化物プロセス、プ
ラズマ励起シランオキシナイトライドプロセス及びプラ
ズマ励起シランナイトライドプロセスの堆積速度を下げ
るために用いることができる。好ましい具体例ではヘリ
ウムを用いているが、ヘリウムの代りに他の不活性ガス
を用いてもよい。
【0067】図2は、フォトリソグラフィープロセス中
に多層半導体デバイスの表面に入る入射光ビームの反射
光及び屈折光の典型的な経路を示す縦断面図である。図
2に示されるように、デバイスに入る入射光ビーム1に
対して、フォトレジスト層とその下の層の間の反射3及
び、フォトレジストに進入する光5を生じるこの下層と
基板の間の別の反射6により、フォトレジストパターン
の露光が歪められてしまう。
【0068】図3では、点線の光路が、本発明に従った
ARLの機能を例示する。図示の如く、光線3と5(こ
れらは強度がほぼ等しく位相差が540゜以上)とが相
互に実質的に打ち消し合い、光線4と6とがARLに吸
収されるだろう。即ち、フォトレジストを露光する光
は、光線2からの入射光のみである。前述の如く、本発
明に従ったARLはあらゆるフォトレジストに適合する
ため、フォトレジストが中和される問題を排除する。更
に、後述のように、別々の最適な屈折率、吸収率及び厚
さの値を有するARLを実現して、190〜900nm
の別々の露光波長の反射を打ち消す。
【0069】図4は、本発明のアンチレフレクティブ層
堆積のためのプロセスにおける、別々のプロセスパラメ
ータの効果を示すチャートである。前述の如く、別々の
パラメータを変えることにより、ARLの性質を変える
ことができる。このチャートで示されるように、基板温
度の上昇により、堆積したARLの屈折率n、吸収率
k、厚さt、及び反射率rが上昇するだろう。同様に、
チャンバに流入する全ガス流れの上昇又はチャンバにS
iH4 が導入される流量の上昇により、堆積したARL
の屈折率n、吸収率k、厚さt及び反射率rの値も上昇
するだろう。
【0070】他方、チャンバ19の圧力の上昇又は支持
体12とマニホールド11の間の間隔の増加は、堆積し
たARLの屈折率n、吸収率k、厚さt及び反射率rの
値を下げる効果がある。あるいは、RF電源25に供給
される電力を上げてプラズマを更に余計に発生させるこ
とは、堆積したARLの屈折率n、吸収率k及び反射率
rの値を下げる一方で堆積したARLの厚さを上げる効
果がある。チャンバ19内がN2O又はN2導入される流
量を上げることによっても、同様の効果を実現すること
ができる。堆積したARLの屈折率n、吸収率k及び反
射率rの値を上げる一方で堆積したARLの厚さを下げ
るという正反対の効果は、チャンバ19にHeを導入す
る流量を上げることによって実現される。最後に、チャ
ンバ19内に導入されるNH3 の量を上げて、屈折率n
及び厚さtの値を上げつつも吸収率k及び反射率rの値
を下げることができる。
【0071】以下のARLの議論は、下記に示される計
算を説明するものである。これらの計算は、一例が上述
されているようなプラズマ励起CVD技術によるSiO
N膜の堆積に関するものである。この計算から得られる
値は、露光波長約248nmに対するものである。この
波長においては、このプロセスで堆積するARLの屈折
率nの値は1.7〜2.4であり、吸収率kの値は0〜
1.3である。
【0072】有効なARLは、PRの厚さが変動した場
合のPRに吸収される光の変動を最小にする。この事
は、PRとARLの界面から反射する光の実質的な打ち
消し、即ち図3の光線3及び5の実質的な打ち消しを要
求する。以下の2つの要求事項が、光線3及び5に対し
て同時に満たされたときに、実質的な打ち消しが実現さ
れる。光線3と5の位相差が、180゜の奇数倍に近い
ことである n3・2t = 1/2(mλ) (1) 光線3と5の強度がほぼ同じであること I3 = I5 (2)。
【0073】上述の要求事項の最初の方は、式1で表さ
れる相殺的干渉方程式によって記述される。第2番目の
式は、光線3及び5の強度を整合するための条件を記述
する。
【0074】所与の基板及びフォトレジストに対して、
式1及び2で表される条件1及び2は、ARL膜の屈折
率n、吸収率k及び厚さtの適切な選択と同時に満足す
ることができる。m=3(位相差540゜)、m=5
(位相差900゜)及びこれよりも高い180゜の奇数
倍の位相差に対する解は、式1を満足するためにはm=
1の場合に比べてより厚いARLの厚さを要求する。A
RLが厚くなるため、式2を満たすためには別の屈折率
n及び吸収率kの値が必要である。この別の屈折率n及
び吸収率kはの値は本発明により、500〜3000オ
ングストロームの範囲のARLの厚さに対して実現でき
る。
【0075】より厚いARLに対して屈折率及び吸収率
の値を実現することが望ましく、それは、厚さが大きく
なることが、ウエハとウエハの膜の一致性を実現するた
めのARL製造上における大きな利点となるからであ
る。膜が厚くなれば、薄い膜よりも長い堆積時間が必要
であることから、膜の屈折率、吸収率及び厚さを良好に
制御することが可能である。例えば、ソニー社の研究者
が示唆する膜厚は、250オングストローム附近であ
る。堆積速度が毎分2000オングストロームとすれ
ば、堆積時間は7.5秒であり、この時間にはプラズマ
の点火及び消失のための時間が含まれる。これらは堆積
プロセスの中で良好に制御できない部分であり、これが
一般に、ウエハ間の厚さの変動や屈折率及び吸収率を含
む膜の性質の変動に寄与することとなる。750オング
ストロームの膜厚を用いる場合は、膜堆積時間は22.
5秒に増え、プラズマの点火及び消失の堆積時間への部
分的な寄与は1/3に減る。プラズマの点火及び消失の
堆積時間への部分的な寄与がこのように小さくなること
により、250オングストロームの膜に比べて、ウエハ
とウエハの間の屈折率、吸収率及び厚さの変動が実質的
に改善される。この内容は、m=3に限定されない。こ
れは、180゜の5倍、7倍及び全ての奇数倍の位相差
における式1及び2に対する解に対して有効である。
【0076】ハードマスクを要求する用途に対しては、
基板上に残されたARL膜をエッチングステップにおけ
るハードマスクとして用いることが可能となる。これ
は、膜厚が、ARL層を完全に侵食せずに基板のエッチ
ングを可能とするよう充分である場合に、可能である。
即ち、これは式1及び2に対する高次の奇数倍の解に対
応した屈折率及び吸収率の値を有する厚いARL膜を用
いる場合の、別に見込まれる利点となる。
【0077】Appendix Aは、SiONについ
て248nmにおける式1及び2の位相差540゜の奇
数倍に対する解に対応した屈折率n、吸収率k及び厚さ
tの値の例を列挙する。フォトレジストに対する屈折率
n及び吸収率kの値はそれぞれ、1.80と0.011
と仮定する。Al、Al−Si、Al−Si−Cu及び
Al−Cuに対する屈折率nと吸収率kの値が示され
る。最後に、W−Siの屈折率nと吸収率kの値をそれ
ぞれ、1.96と2.69と仮定する。式が2つで未知
数が3つであるため、屈折率n、吸収率k又は厚さtの
1つの値を選択してから、残りの2つの未知数を計算す
ることができる。上記の堆積プロセスで最適化したSi
ONのARL膜の屈折率nの値は248nmに対して常
に2.2〜2.3附近であるため、Appendix
Aの計算全体では、屈折率nの値をこの範囲にあるよう
に選択する。吸収率kの値は上記のプロセスで広い範囲
で調節可能であることから、吸収率kの値は制限しな
い。
【0078】下記に与える解は、単純化したモデルのた
めに、ARLに対する厳密な最適値ではない。例えば、
Alの自然酸化物やW−Siを単純に無視しており、ま
た、その厚は常に10〜20オングストロームの範囲に
ある。また、ARL膜の屈折率n及び吸収率kの値は、
膜の厚さ全体について一定であると仮定する。このよう
に、式1及び2に対する解は、所望のARL膜の屈折率
n、吸収率k及び厚さに対するガイドラインを与えるの
みである。特定の用途に対する屈折率n、吸収率k及び
厚さの正確な値は、式1及び2からの解の値近くに最適
化することにより、実験的に決定される。
【0079】m=3について、深いUV(248nm)
フォトリソグラフィーを有するAl基板に対して、屈折
率nの適切な値は2.3附近であり、吸収率kの適切な
値は0.3附近、適切な厚さの値は800オングストロ
ーム附近である。これらの解は位相差540゜の8゜の
範囲内に対して式1を満たすことが示されている。式2
に対しては、光線3及び5の間の強度の差は、入射強度
の5%附近である。m=3について、深いUVフォトリ
ソグラフィーを有するW−Si基板に対しては、屈折率
nの適切な値は2.3附近であり、吸収率kの適切な値
は0.3附近、適切な厚さの値は800オングストロー
ム附近である。これらの解は位相差540゜から8゜の
範囲内に対して式1を満たすことが示されている。式2
に対しては、光線3及び5の間の強度の差は、入射強度
の5%未満である。
【0080】m=5について、深いUVフォトリソグラ
フィーを有するAl基板に対して、屈折率nの適切な値
は2.3附近であり、吸収率kの適切な値は0.17附
近、適切な厚さの値は1350オングストローム附近で
ある。これらの解は位相差900゜の8゜の範囲内に対
して式1を満たすことが示されている。式2に対して
は、光線3及び5の間の強度の差は、入射強度の5%附
近である。m=5について、深いUVフォトリソグラフ
ィーを有するW−Si基板に対しては、屈折率nの適切
な値は2.3附近であり、吸収率kの適切な値は0.1
8附近、適切な厚さの値は1350オングストローム附
近である。これらの解は位相差900゜の8゜の範囲内
に対して式1を満たすことが示されている。式2に対し
ては、光線3及び5の間の強度の差は、入射強度の5%
未満である。
【0081】m=7について、深いUVフォトリソグラ
フィーを有するAl基板に対して、屈折率nの適切な値
は2.3附近であり、吸収率kの適切な値は0.13附
近、適切な厚さの値は1900オングストローム附近で
ある。これらの解は位相差1260゜の8゜の範囲内に
対して式1を満たすことが示されている。式2に対して
は、光線3及び5の間の強度の差は、入射強度の5%附
近である。m=7について、深いUVフォトリソグラフ
ィーを有するW−Si基板に対しては、屈折率nの適切
な値は2.3附近であり、吸収率kの適切な値は0.1
3附近、適切な厚さの値は1900オングストローム附
近である。これらの解は位相差1260゜の8゜の範囲
内に対して式1を満たすことが示されている。式2に対
しては、光線3及び5の間の強度の差は、入射強度の5
%未満である。
【0082】m=9について、深いUVフォトリソグラ
フィーを有するAl基板に対して、屈折率nの適切な値
は2.3附近であり、吸収率kの適切な値は0.10附
近、適切な厚さの値は2430オングストローム附近で
ある。これらの解は位相差1620゜の8゜の範囲内に
対して式1を満たすことが示されている。式2に対して
は、光線3及び5の間の強度の差は、入射強度の5%附
近である。m=9について、深いUVフォトリソグラフ
ィーを有するW−Si基板に対しては、屈折率nの適切
な値は2.3附近であり、吸収率kの適切な値は0.1
0附近、適切な厚さの値は2430オングストローム附
近である。これらの解は位相差1620゜の8゜の範囲
内に対して式1を満たすことが示されている。式2に対
しては、光線3及び5の間の強度の差は、入射強度の5
%未満である。
【0083】m=11について、深いUVフォトリソグ
ラフィーを有するAl基板に対して、屈折率nの適切な
値は2.3附近であり、吸収率kの適切な値は0.08
1附近、適切な厚さの値は2965オングストローム附
近である。これらの解は位相差1980゜の8の範囲内
に対して式1を満たすことが示されている。式2に対し
ては、光線3及び5の間の強度の差は、入射強度の5%
附近である。m=11について、深いUVフォトリソグ
ラフィーを有するW−Si基板に対しては、屈折率nの
適切な値は2.3附近であり、吸収率kの適切な値は
0.081附近、適切な厚さの値は2965オングスト
ローム附近である。これらの解は位相差2965゜の8
゜の範囲内に対して式1を満たすことが示されている。
式2に対しては、光線3及び5の間の強度の差は、入射
強度の5%未満である。
【0084】m=13、15、17等の高次の奇数倍に
対する解は、式1を満たすARLの厚さを厚くする事を
伴うことが見出されている。この厚さの増加により、式
2を満たす適切な吸収率kの値が決定できる。
【0085】Appendix Bは、用いる種々のプ
ロセスパラメータの好ましい範囲の幾つかと、堆積した
ARLの化学的性質及び光学的性質に対するシランと、
NH3 と、温度の効果を示す特性チャートである。この
チャートの後のグラフ(図11〜25)は、反射率対波
長、屈折率n対波長及び吸収率k対波長が、SiH4
量、支持体とマニホールドの間隔、チャンバ圧力、RF
電力、N2O 流量、N2 流量、He流量、全ガス流量、
温度が、中心値から変動した場合に、どのように変動す
るかを示している。シラン流量、支持体とマニホールド
の間隔、チャンバ圧力、RF電力、N2O 流量、N2
量、He流量、全ガス流量、温度の中心値は、それぞれ
順に、51sccm、500mils、4.6トール、
160ワット、30sccm、200sccm、200
0sccm、350℃である。これらの値は、これらの
プロセスパラメータの1つが変化したときに一定に保た
れているそれぞれのプロセスパラメータの値にも対応し
ている。
【0086】Appendix Cは、チャンバ圧力、
RF電力、支持体とマニホールドの間隔、SiH4
量、N2O 流量、He流量、N2 流量が、ARLを堆積
するためのプロセスの堆積速度に与える影響と、堆積し
たARLの屈折率n、吸収率k及び均一性に与える影響
とを示している。これらのグラフでは、言及しない限
り、シラン流量、支持体とマニホールドの間隔、チャン
バ圧力、RF電力、N2O流量、N2 流量、He流量は
それぞれ順に、51sccm、500mils、4.6
トール、160ワット、30sccm、200scc
m、2000sccmで一定に維持される。
【0087】当業者に理解されるように、本発明の範囲
及び本質的な特徴から離れることなく、本発明を別の特
定の形態に具体化することが可能である。従って、前出
の説明は好ましい具体例を例示するためのものであり、
特許請求の範囲が本発明の範囲を述べると解されるべき
である。
【0088】
【数1】
【0089】
【数2】
【0090】
【数3】
【0091】
【数4】
【0092】
【数5】
【0093】
【数6】
【0094】
【数7】
【0095】
【数8】
【0096】
【図面の簡単な説明】
【図1】本発明に従ったアンチレフレクティブコーティ
ングの処理のために用いる単純化した化学気相堆積装置
の1つの具体例の縦断面図である。
【図2】フォトリソグラフィープロセス中の多層半導体
デバイスの表面に入射する入射光ビームの反射及び屈折
光の経路の縦断面図である。
【図3】本発明に従ってアンチレフレクティブ層を用い
る効果を示す。
【図4】本発明のアンチレフレクティブ層堆積のプロセ
スの傾向のチャートである。
【図5】本発明に従ったアンチレフレクティブコーティ
ングの処理のために用いる単純化した化学気相堆積装置
(CVD)の1つの具体例の縦断面図である。
【図6】フォトリソグラフィープロセス中の多層半導体
デバイスの表面に入射する入射光ビームの反射及び屈折
光の経路の縦断面図である。
【図7】本発明に従ってアンチレフレクティブ層を用い
る効果を示す。
【図8】本発明のアンチレフレクティブ層堆積のプロセ
スの傾向のチャートである。
【図9】「Appendix B」と称する表であり、
ARL膜のRBS/HFSサンプルの結果を示す。
【図10】「Appendix B」と称する表であ
り、ARL膜のRBS/HFSサンプルの別の結果を示
す。
【図11】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図12】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図13】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図14】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図15】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図16】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図17】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図18】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図19】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図20】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図21】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。波長に対して反射
率、nの値、kの値の変動をそれぞれ示すグラフを含ん
でいる。
【図22】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図23】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図24】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図25】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図26】波長に対して反射率、nの値、kの値の変動
をそれぞれ示すグラフを含んでいる。
【図27】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図28】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図29】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図30】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図31】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図32】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図33】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図34】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図35】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図36】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図37】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図38】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図39】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図40】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図41】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【図42】200nmARLプロセスの傾向を示すグラ
フを含んでいる。
【符号の説明】
10…PECVDシステム、11…マニホールド、12
…支持体、13…サポート、14…処理のポジション、
15…真空チャンバ、18…ライン、19…ガス混合チ
ャンバ、21,22…矢印、23…ポート、24…真空
マニホールド、25…RF電源、31…真空ライン、3
2…絞り弁、34…プロセッサ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョー フェン アメリカ合衆国, カリフォルニア州, サンタ クララ, サラトガ アヴェニュ ー 444, ナンバー17エル (72)発明者 ジュディ エイチ. ウォン アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 ウェイ−ファン ヤウ アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, グレーテル レー ン 1568

Claims (79)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバ内でアンチレフレクティブ
    層の堆積中に、プロセスに対するプラズマの安定性を実
    現するための方法であって、前記プラズマ安定性は、均
    一な膜の堆積を確保するものであり、前記方法は、 前記プロセスにある量の不活性ガスを添加して、所望の
    チャンバ圧力を実現するステップと、 前記プロセスに添加する不活性ガスの前記量を制御し
    て、前記処理チャンバの圧力を制御するステップとを有
    する方法。
  2. 【請求項2】 前記不活性ガスがヘリウムガスを備える
    請求項1に記載の方法。
  3. 【請求項3】 薄膜堆積で安定性及び良好な厚さ制御を
    実現するために、プラズマ励起プロセスを制御するため
    の方法であって、 所望の安定性及び厚さを実現するために要求される不活
    性ガスの量を決めるステップと、 前記プロセスに前記不活性ガスを添加するステップと、 前記不活性ガスの添加を制御して前記量を実現し、前記
    プロセスの堆積速度を下げるステップとを有する方法。
  4. 【請求項4】 前記不活性ガスがヘリウムガスを備える
    請求項3に記載の方法。
  5. 【請求項5】 前記プロセスが、プラズマ励起シラン酸
    化物プロセスを有する請求項3に記載の方法。
  6. 【請求項6】 前記プロセスが、プラズマ励起シランオ
    キシナイトライドプロセスを有する請求項3に記載の方
    法。
  7. 【請求項7】 前記プロセスが、プラズマ励起シランナ
    イトライドプロセスを有する請求項3に記載の方法。
  8. 【請求項8】 更に、 チャンバ圧力を1〜6トールに設定して維持するステッ
    プと、 50〜500ワットの電力を供給する能力を有する電源
    に、前記チャンバを接続させるステップと、 支持体上に前記基板を支持するステップと、 前記基板を、200〜400℃の範囲の温度まで加熱す
    るステップと、 前記支持体を、ガス散布システムから、200〜650
    milsの範囲の間隔を備えた距離のところに配置する
    ステップと、 流量5〜300sccmでSiH4 を前記チャンバに導
    入するステップと、 流量5〜300sccmでN2O を前記チャンバに導入
    するステップと、 流量0〜200sccmでNH3 を前記チャンバに導入
    するステップと、 流量0〜4000sccmでN2 を前記チャンバに導入
    するステップと、を有する請求項1に記載の方法。
  9. 【請求項9】 更に、 Al−Si基板の上に前記アンチレフレクティブ層を形
    成するステップと、 前記アンチレフレクティブ層の上にフォトレジストを形
    成するステップとを有し、 前記アンチレフレクティブ層は、波長約248nmでの
    露光に対して、前記フォトレジストと前記アンチレフレ
    クティブ層の界面からの第1の反射光の位相が、前記ア
    ンチレフレクティブ層と前記Al−Si基板の界面から
    の第2の反射光と540゜ずれており、前記第1の反射
    光は、前記第2の反射光と同じ強度を有して前記第2の
    反射光を実質的に打ち消す、独自の膜の性質を有してい
    る膜を備え、 前記アンチレフレクティブ膜は、2.1〜2.4の範囲
    の反射屈折率nと、0.2〜0.5の範囲の吸収屈折率
    kと、500〜1000オングストロームの範囲の厚さ
    とを有している請求項8に記載の方法。
  10. 【請求項10】 半導体処理チャンバで基板上にアンチ
    レフレクティブ層を堆積するためのプロセスであって、
    前記プロセスは、前記アンチレフレクティブ膜の光学的
    性質及び化学的性質の両方を正確に制御することを可能
    にし、前記プロセスは、 チャンバ圧力を1〜6トールに設定し維持するステップ
    と、 50〜500ワットの電力を供給する能力を有する電源
    に、前記チャンバを接続させるステップと、 支持体上に前記基板を支持するステップと、 前記基板を、200〜400℃の範囲の温度まで加熱す
    るステップと、 前記支持体を、ガス散布システムから、200〜650
    milsの範囲の間隔を備えた距離のところに配置する
    ステップと、 流量5〜300sccmでSiH4 を前記チャンバに導
    入するステップと、 流量5〜300sccmでN2O を前記チャンバに導入
    するステップとを有するプロセス。
  11. 【請求項11】 流量5〜200sccmでNH3 を前
    記チャンバに導入して、n及びkの更なる自由度及び更
    に精密な調整を可能にするステップを更に有する請求項
    10に記載のプロセス。
  12. 【請求項12】 流量10〜4000sccmでN2
    前記チャンバに導入して、n及びkの更なる自由度及び
    更に精密な調整を可能にするステップを更に有する請求
    項10に記載のプロセス。
  13. 【請求項13】 流量500〜4000sccmでヘリ
    ウムを前記チャンバに導入して、前記プロセスを安定化
    させ且つ良好に制御するステップを更に有する請求項1
    0に記載のプロセス。
  14. 【請求項14】 更に、 流量5〜200sccmでNH3 を前記チャンバに導入
    するステップと、 流量10〜4000sccmでN2 を前記チャンバに導
    入するステップとを有し、NH3 及びN2 の両方が、n
    及びkの更なる自由度及び更に精密な調整を可能にする
    請求項10に記載のプロセス。
  15. 【請求項15】流量5〜200sccmでNH3 を前記
    チャンバに導入して、n及びkの更なる自由度及び更に
    精密な調整を可能にするステップと、 流量10〜4000sccmでヘリウムを前記チャンバ
    に導入して、前記プロセスを安定化させ且つ良好に制御
    するステップとを更に有する請求項10に記載のプロセ
    ス。
  16. 【請求項16】流量10〜4000sccmでN2 を前
    記チャンバに導入して、n及びkの更なる自由度及び更
    に精密な調整を可能にするステップと、 流量500〜4000sccmでヘリウムを前記チャン
    バに導入して、前記プロセスを安定化させ且つ良好に制
    御するステップとを更に有する請求項10に記載のプロ
    セス。
  17. 【請求項17】流量5〜200sccmでNH3 を前記
    チャンバに導入するステップと、 流量10〜4000sccmでN2 を前記チャンバに導
    入するステップとを有して、NH3 及びN2 の両方が、
    n及びkの更なる自由度及び更に精密な調整を可能に
    し、且つ、 流量500〜4000sccmでヘリウムを前記チャン
    バに導入して、前記プロセスを安定化させ且つ良好に制
    御するステップを有する請求項10に記載のプロセス。
  18. 【請求項18】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記NH3 を前記チャンバに導入する前記
    流量を上昇させて、前記n及び前記tの値を増加させ前
    記k及び前記rの値を減少させるステップを有する請求
    項17に記載のプロセス。
  19. 【請求項19】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記N2 を前記チャンバに導入する前記流
    量を上昇させて、前記n、前記k及び前記rの値を減少
    させ前記tの値を増加させるステップを有する請求項1
    7に記載のプロセス。
  20. 【請求項20】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記ヘリウムを前記チャンバに導入する前
    記流量を上昇させて、前記n、前記k及び前記rの値を
    増加させ前記tの値を減少させるステップを有する請求
    項17に記載のプロセス。
  21. 【請求項21】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記基板加熱温度を上昇させて、前記n、
    前記k、前記t及び前記rの値を増加させるステップを
    有する請求項10に記載のプロセス。
  22. 【請求項22】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記チャンバ圧力を上昇させて、前記n、
    前記k、前記t及び前記rの値を減少させるステップを
    有する請求項10に記載のプロセス。
  23. 【請求項23】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記チャンバに供給する電力を上昇させ
    て、前記n、前記k及び前記rの値を減少させ前記tの
    値を増加させるステップを有する請求項10に記載のプ
    ロセス。
  24. 【請求項24】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記支持体と前記ガス散布システムとの間
    の間隔を広げて、前記n、前記k、前記t及び前記rの
    値を減少させるステップを有する請求項10に記載のプ
    ロセス。
  25. 【請求項25】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記SiH4 を前記チャンバに導入する前
    記流量を上昇させて、前記n、前記t、前記k及び前記
    rの値を増加させるステップを有する請求項10に記載
    のプロセス。
  26. 【請求項26】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記N2O を前記チャンバに導入する前記
    流量を上昇させて、前記n、前記k及び前記rの値を減
    少させ前記tの値を増加させるステップを有する請求項
    10に記載のプロセス。
  27. 【請求項27】 前記アンチレフレクティブ層が、屈折
    率n、吸収率k、厚さt及び反射率rを有し、前記プロ
    セスが更に、前記チャンバに導入する全ガス流量を上昇
    させて、前記n、前記t、前記k及び前記rの値を増加
    させるステップを有する請求項10に記載のプロセス。
  28. 【請求項28】 更に、 Al−Si基板の上に前記アンチレフレクティブ層を形
    成するステップと、 前記アンチレフレクティブ層の上にフォトレジストを形
    成するステップとを有し、 前記アンチレフレクティブ層は、波長約248nmでの
    露光に対して、前記フォトレジストと前記アンチレフレ
    クティブ層の界面からの第1の反射光の位相が、前記ア
    ンチレフレクティブ層と前記Al−Si基板の界面から
    の第2の反射光と540゜ずれており、前記第1の反射
    光は、前記第2の反射光と同じ強度を有して前記第2の
    反射光を実質的に打ち消す、独自の膜の性質を有してい
    る膜を備え、 前記アンチレフレクティブ膜は、2.1〜2.4の範囲
    の反射屈折率nと、0.2〜0.5の範囲の吸収屈折率
    kと、500〜1000オングストロームの範囲の厚さ
    とを有している請求項8に記載の方法。
  29. 【請求項29】 フォトレジストのパターンを形成する
    方法であって、 基板上に、膜を備えるアンチレフレクティブ層を形成す
    るステップと、 前記アンチレフレクティブ膜の上にフォトレジストを形
    成するステップであって、前記フォトレジストと前記ア
    ンチレフレクティブ層の界面からの第1の反射光の位相
    が前記アンチレフレクティブ層と前記基板の界面からの
    第2の反射光と540゜ずれており、前記第1の反射光
    は前記第2の反射光とほぼ同じ強度を有して前記第2の
    反射光を実質的に打ち消すような、厚さと屈折率を前記
    膜が有する、前記ステップとを有し前記厚さと前記屈折
    率は、ある範囲内にあり、且つ、露光波長が与えられた
    とき正確に決定することができ、前記厚さと前記屈折率
    は信頼性及び反復性をもって実現可能である方法。
  30. 【請求項30】 前記基板がAl−Si基板を備え、前
    記Al−Si基板が波長約248nmに露光され、前記
    アンチレフレクティブ膜は、2.1〜2.4の範囲の反
    射屈折率nと、0.2〜0.5の範囲の吸収屈折率k
    と、500〜1000オングストロームの範囲の厚さと
    を有している請求項29に記載の方法。
  31. 【請求項31】 前記基板が、Al、Al−Cu及びA
    l−Si−Cuを備える請求項30に記載の方法。
  32. 【請求項32】 前記第1の反射光と前記第2の反射光
    との位相シフトが540゜よりも大きい請求項29に記
    載の方法。
  33. 【請求項33】 前記露光波長が、190〜900nm
    の範囲にある請求項29に記載の方法。
  34. 【請求項34】Al−Si基板の上に前記アンチレフレ
    クティブ層を形成するステップと、 前記アンチレフレクティブ層の上にフォトレジストを形
    成するステップとを有し、 前記アンチレフレクティブ層は、波長約248nmでの
    露光に対して、前記フォトレジストと前記アンチレフレ
    クティブ層の界面からの第1の反射光の位相が、前記ア
    ンチレフレクティブ層と前記Al−Si基板の界面から
    の第2の反射光と540゜ずれており、前記第1の反射
    光は、前記第2の反射光とほぼ同じ強度を有して前記第
    2の反射光を実質的に打ち消す、独自の膜の性質を有し
    ている膜を備え、 前記アンチレフレクティブ膜は、2.1〜2.4の範囲
    の反射屈折率nと、0.2〜0.5の範囲の吸収屈折率
    kと、500〜1000オングストロームの範囲の厚さ
    とを有している方法。
  35. 【請求項35】 薄膜干渉を実質的に排除する独自の性
    質を有するアンチレフレクティブ層であって、前記層
    は、 1.7〜2.9の範囲の反射屈折率nと、0〜1.3の
    範囲の吸収屈折率kと、200〜3000オングストロ
    ームの範囲の厚さとを有するアンチレフレクティブ膜を
    備え、 露光波長365nm以下に対して、前記フォトレジスト
    と前記アンチレフレクティブ層の界面からの第1の反射
    光と前記アンチレフレクティブ層と前記基板の界面から
    の第2の反射光との間に、180゜の奇数倍の位相シフ
    トが存在し、前記第1の反射光は前記第2の反射光とほ
    ぼ同じ強度を有して前記第2の反射光を実質的に打ち消
    す、アンチレフレクティブ層。
  36. 【請求項36】 前記基板が、Al、Al−Si、Al
    −Si−Cu及びW−Siを備える請求項35に記載の
    アンチレフレクティブ層。
  37. 【請求項37】 基板処理システムであって、 真空チャンバと、 真空チャンバ内に配置される、基板を支持するための基
    板支持体と、 チャンバ内にプロセスガスを導入するためのガスマニホ
    ールドと、 ガス源からガスマニホールドへプロセスガスを散布する
    ための、ガスマニホールドにつながったガス散布システ
    ムと、 基板支持体とチャンバの間につながる電源と真空チャン
    バ内の圧力を制御するための真空システムと、 コンピュータを有する、ガス散布システムと電源と真空
    システムとを制御するためのコントローラと、 基板処理システムの動作を支持するためのコンピュータ
    読み出し可能プログラムコードを有するコンピュータ使
    用可能媒体を備えるコントローラであって、前記コンピ
    ュータ読みだし可能プログラムコードは、 ガス散布システムにSiH4 とN2O との混合物を備え
    る第1のプロセスガスをチャンバに導入させてウエハの
    上にプラズマ励起CVD層を堆積させるための、コンピ
    ュータ読み出し可能プログラムコードと、 ガス散布システムにHeを備える第2のプロセスガスを
    チャンバに導入させて第1の層の堆積速度を制御するた
    めの、コンピュータ読み出し可能プログラムコードとを
    備える、前記コントローラとを備える基板処理システ
    ム。
  38. 【請求項38】 ガス散布システムにSiH4 とN2
    との混合物を備える第1のプロセスガスをチャンバに導
    入させる該コンピュータ読み出し可能プログラムコード
    が、SiH4 の導入量を5〜300sccmに制御し、
    且つ、N2Oの流量を5〜300sccmに制御する、
    請求項37に記載の基板処理システム。
  39. 【請求項39】 ガス散布システムにHeを備える第2
    のプロセスガスをチャンバに導入させる該コンピュータ
    読み出し可能プログラムコードが、チャンバ圧力を約1
    〜6トールに制御する請求項38に記載の基板処理シス
    テム。
  40. 【請求項40】 ガス散布システムにSiH4 とN2
    との混合物を備える第1のプロセスガスをチャンバに導
    入させる該コンピュータ読み出し可能プログラムコード
    が、SiH4 の導入量をN2O の量の約0.5〜3倍の
    比に制御する請求項39に記載の基板処理システム。
  41. 【請求項41】 更に、 ガス散布システムにNH3 を備える第3のプロセスガス
    をチャンバに導入させるコンピュータ読み出し可能プロ
    グラムコードと、 ガス散布システムにN2 を備える第4のプロセスガスを
    チャンバに導入させるコンピュータ読み出し可能プログ
    ラムコードとを備える請求項37に記載の基板処理シス
    テム。
  42. 【請求項42】ガス散布システムにNH3 を備える第3
    のプロセスガスをチャンバに導入させる該コンピュータ
    読み出し可能プログラムコードが、NH3 の導入量を流
    量0〜300sccmの間に制御し、 ガス散布システムにN2 を備える第4のプロセスガスを
    チャンバに導入させる該コンピュータ読み出し可能プロ
    グラムコードが、N2 の導入量を流量0〜4000sc
    cmの間に制御する請求項41に記載の基板処理システ
    ム。
  43. 【請求項43】 ガス散布システムを所定の時間の間動
    作させるコンピュータ読み出し可能プログラムコードを
    更に備える請求項37に記載の基板処理システム。
  44. 【請求項44】 ガス散布システムを所定の時間の間動
    作させる該コンピュータ読み出し可能プログラムコード
    が、第1のプラズマ励起CVD層に対するその後のプロ
    セスで用いる露光波長の1より大きい奇数倍である厚さ
    に、第2のプラズマ励起CVD層を形成させるための、
    コンピュータ読み出し可能プログラムコードを備える請
    求項43に記載の基板処理システム。
  45. 【請求項45】 ガス散布システムにSiH4 とN2
    との混合物を備える第1のプロセスガスをチャンバに導
    入させる該コンピュータ読み出し可能プログラムコード
    が、SiH4 の導入量を15〜160sccmに制御
    し、且つ、N2O の流量を15〜160sccmに制御
    する、請求項38に記載の基板処理システム。
  46. 【請求項46】 更に、 ガス散布システムにNH3 を備える第3のプロセスガス
    を流量150sccm未満でチャンバに導入させるコン
    ピュータ読み出し可能プログラムコードと、 ガス散布システムにN2 を備える第4のプロセスガスを
    流量300sccm未満でチャンバに導入させるコンピ
    ュータ読み出し可能プログラムコードとを備える請求項
    45に記載の基板処理システム。
  47. 【請求項47】 処理チャンバ内でアンチレフレクティ
    ブ層の堆積中にプロセスのためのプラズマの安定を実現
    するための方法であって、 ある量の不活性ガスをプロセスに添加して所望のチャン
    バ圧力を実現するステップと、 プロセスに添加される不活性ガスの量を制御して、処理
    チャンバ圧力を制御するステップとを有する方法。
  48. 【請求項48】 不活性ガスがヘリウムを備え、処理チ
    ャンバ圧力が4.5〜5.5トールである請求項47に
    記載の方法。
  49. 【請求項49】 プラズマ励起プロセスを制御して薄膜
    堆積の厚さ制御を実現する方法であって、 所望の低い堆積速度に要する不活性ガスの量を決定する
    ステップと、 不活性ガスをプロセスに添加するステップと、 不活性ガスをプロセスに添加するステップを制御して所
    望の低い堆積速度を実現するステップとを有する方法。
  50. 【請求項50】 不活性ガスがヘリウムを備える請求項
    49に記載の方法。
  51. 【請求項51】 プロセスがプラズマ励起シラン酸化物
    プロセスを有する請求項49に記載の方法。
  52. 【請求項52】 プロセスがプラズマ励起シランオキシ
    ナイトライドプロセスを有する請求項49に記載の方
    法。
  53. 【請求項53】 プロセスがプラズマ励起シランナイト
    ライドプロセスを有する請求項49に記載の方法。
  54. 【請求項54】 更に、 チャンバ圧力を1〜6トールの範囲に維持するステップ
    と、 チャンバをRF電源に接続するステップと、 基板を支持体上に支持するステップと、 基板を加熱するステップと、 SiH4 を流量5〜300sccmでチャンバに導入す
    るステップと、 N2O を流量5〜300sccmでチャンバに導入する
    ステップとを有する請求項49に記載の方法。
  55. 【請求項55】 該加熱のステップでは、200〜40
    0℃の範囲の温度に基板を加熱する操作を有する請求項
    54に記載の方法。
  56. 【請求項56】 該基板支持ステップが、支持体をガス
    散布システムから200〜600milsの範囲の距離
    のところに配置する操作を有する請求項54に記載の方
    法。
  57. 【請求項57】 更に、 NH3 を流量300sccm未満でチャンバに導入する
    ステップと、 N2 を流量4000sccm未満でチャンバに導入する
    ステップとを有する請求項49に記載の方法。
  58. 【請求項58】 更に、 基板の上にアンチレフレクティブ層を形成するステップ
    と、 アンチレフレクティブ層の上に付加材料の層を形成する
    ステップと、を有し、 所与の露光波長に対して、付加材料層とアンチレフレク
    ティブ層の第1の界面からの第1の反射光とアンチレフ
    レクティブ層と基板の第2の界面からの第2の反射光と
    を有する膜であって、第2の反射光は第1の反射光と
    は、180゜に少なくとも3である奇数を乗じただけ位
    相がずれ、前記第1の反射光と前記第2の反射光とは互
    いに少なくとも部分的に打ち消し合う、前記膜を、アン
    チレフレクティブ層が備える請求項49に記載の方法。
  59. 【請求項59】 付加材料がフォトレジストを備える請
    求項58に記載の方法。
  60. 【請求項60】 アンチレフレクティブ層が、2.1〜
    2.4の範囲の屈折率と、0.2〜0.5の範囲の吸収
    率を有する請求項59に記載の方法。
  61. 【請求項61】 アンチレフレクティブ層が500〜1
    000オングストロームの厚さを有する請求項60に記
    載の方法。
  62. 【請求項62】 半導体処理チャンバ内で基板上にアン
    チレフレクティブ層を堆積するためのプロセスであっ
    て、 Heを用いて1〜6トールの範囲のチャンバ圧力を与え
    るステップと、 チャンバをRF電源に接続して、50〜500ワットを
    受容するステップと、 基板をチャンバ内で支持するステップと、 基板を200〜400℃の範囲の温度に加熱するステッ
    プと、 ガス散布システムを介して流量5〜300sccmでS
    iH4 を導入するステップと、 ガス散布システムを介して流量5〜300sccmでN
    2O を導入するステップとを有するプロセス。
  63. 【請求項63】 流量0〜300sccmでNH3 をチ
    ャンバに導入するステップを更に有する請求項62に記
    載のプロセス。
  64. 【請求項64】 流量0〜4000sccmでN2 をチ
    ャンバに導入するステップを更に有する請求項63に記
    載のプロセス。
  65. 【請求項65】 流量5〜5000sccmでHeをチ
    ャンバに導入するステップを更に有する請求項62に記
    載のプロセス。
  66. 【請求項66】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、NH3 をチャンバに導入する流量を上昇させて、
    屈折率n及び厚さtを増加させ吸収率k及び反射率rを
    減少させるステップを有する請求項62に記載のプロセ
    ス。
  67. 【請求項67】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、N2 をチャンバに導入する流量を上昇させて、屈
    折率n、吸収率k及び反射率rを減少させ厚さtを増加
    させるステップを有する請求項63に記載のプロセス。
  68. 【請求項68】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、Heをチャンバに導入する流量を上昇させて、屈
    折率n、吸収率k及び反射率rを増加させ厚さtを減少
    させるステップを有する請求項63に記載のプロセス。
  69. 【請求項69】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、温度を上昇させて、屈折率n、吸収率k、反射率
    r及び厚さtを増加させるステップを有する請求項62
    に記載のプロセス。
  70. 【請求項70】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、チャンバ圧力を上昇させて、屈折率n、吸収率
    k、反射率r及び厚さtを減少させるステップを有する
    請求項62に記載のプロセス。
  71. 【請求項71】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、チャンバに供給される電力を上昇させて、屈折率
    n、吸収率k及び反射率rを減少させ厚さtを増加させ
    るステップを有する請求項62に記載のプロセス。
  72. 【請求項72】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、支持体とガス散布支持体の間の距離を増加させ
    て、屈折率n、吸収率k、厚さt及び反射率rを減少さ
    せるステップを有する請求項62に記載のプロセス。
  73. 【請求項73】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、SiH4 をチャンバに導入する流量を上昇させ
    て、屈折率n、吸収率k、厚さt及び反射率rを増加さ
    せるステップを有する請求項62に記載のプロセス。
  74. 【請求項74】 アンチレフレクティブ層が、屈折率
    n、吸収率k、厚さt及び反射率rを有し、プロセスが
    更に、N2O をチャンバに導入する流量を上昇させて、
    屈折率n、吸収率k及び反射率rを減少させ厚さtを増
    加させるステップを有する請求項62に記載のプロセ
    ス。
  75. 【請求項75】 フォトレジストパターンを形成する方
    法であって、 基板上にアンチレフレクティブ層を形成するステップ
    と、 アンチレフレクティブ層上にフォトレジストの層を形成
    するステップであって、フォトレジストとアンチレフレ
    クティブ層の界面からの第1の反射光の位相がアンチレ
    フレクティブ層と基板の界面からの第2の反射光と54
    0゜ずれているような、厚さと屈折率を該層が有する、
    前記ステップとを有する方法。
  76. 【請求項76】基板がAlを備え、 第1の反射光及び第2の反射光が波長約248nmの光
    であり、 前記アンチレフレクティブ膜は、2.1〜2.4の範囲
    の反射屈折率nと、0.2〜0.5の範囲の吸収屈折率
    kと、500〜1000オングストロームの範囲の厚さ
    とを有している請求項75に記載の方法。
  77. 【請求項77】 基板がSiとCuの少なくともいずれ
    かを更に備える請求項76に記載の方法。
  78. 【請求項78】 光を用いてフォトレジストを露光し、
    この光が190〜900nmの範囲の波長を有する請求
    項75に記載の方法。
  79. 【請求項79】 半導体デバイスの製造に用いるための
    改良されたアンチレフレクティブ層であって、 1.7〜2.9の範囲の反射屈折率nと、0〜1.3の
    範囲の吸収屈折率kと、200〜3000オングストロ
    ームの範囲の厚さとを有する、SiONを備える層を備
    え、 露光波長365nm以下に対して、その上の層とアンチ
    レフレクティブ層の界面からの第1の反射光とアンチレ
    フレクティブ層と基板の界面からの第2の反射光との間
    に、180゜に少なくとも3より大きな奇数を乗じた位
    相シフトが存在し、第1の反射光は第2の反射光とほぼ
    同じ強度を有して第2の反射光を実質的に打ち消す、ア
    ンチレフレクティブ層。
JP35653096A 1995-12-05 1996-12-05 アンチレフレクティブコーティング及びその堆積の方法 Expired - Fee Related JP3930932B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US56733895A 1995-12-05 1995-12-05
US08/567338 1996-06-28
US08/672888 1996-06-28
US08/672,888 US5968324A (en) 1995-12-05 1996-06-28 Method and apparatus for depositing antireflective coating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007000228A Division JP2007180561A (ja) 1995-12-05 2007-01-04 アンチレフレクティブコーティング及びその堆積の方法

Publications (2)

Publication Number Publication Date
JPH09246180A true JPH09246180A (ja) 1997-09-19
JP3930932B2 JP3930932B2 (ja) 2007-06-13

Family

ID=27074447

Family Applications (2)

Application Number Title Priority Date Filing Date
JP35653096A Expired - Fee Related JP3930932B2 (ja) 1995-12-05 1996-12-05 アンチレフレクティブコーティング及びその堆積の方法
JP2007000228A Pending JP2007180561A (ja) 1995-12-05 2007-01-04 アンチレフレクティブコーティング及びその堆積の方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007000228A Pending JP2007180561A (ja) 1995-12-05 2007-01-04 アンチレフレクティブコーティング及びその堆積の方法

Country Status (5)

Country Link
US (2) US5968324A (ja)
EP (1) EP0778496B1 (ja)
JP (2) JP3930932B2 (ja)
KR (1) KR100459982B1 (ja)
DE (1) DE69621750T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002517089A (ja) * 1998-05-22 2002-06-11 アプライド マテリアルズ インコーポレイテッド 浅いトレンチ分離のための自己平坦化絶縁層を形成する方法
JP2013524549A (ja) * 2010-04-13 2013-06-17 アプライド マテリアルズ インコーポレイテッド 結晶性太陽電池上の機能的および光学的グレーデッドARC層のための多層SiN

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP3827839B2 (ja) * 1997-11-27 2006-09-27 富士通株式会社 半導体装置の製造方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6063666A (en) * 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6924196B1 (en) * 1999-08-06 2005-08-02 Newport Fab, Llc Anti-reflective coating and process using an anti-reflective coating
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6242361B1 (en) * 1999-12-13 2001-06-05 Industrial Technology Research Institute Plasma treatment to improve DUV photoresist process
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
AU2001233290A1 (en) * 2000-02-22 2001-09-03 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6936405B2 (en) 2000-02-22 2005-08-30 Brewer Science Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6376392B1 (en) 2001-05-18 2002-04-23 Industrial Technology Research Institute PECVD process for ULSI ARL
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR20050115634A (ko) * 2004-06-04 2005-12-08 삼성전자주식회사 플라즈마 강화 화학기상증착설비를 이용한 화학기상증착방법
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
WO2007044514A2 (en) * 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
US8133659B2 (en) * 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6903040B2 (ja) * 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2021150470A1 (en) * 2020-01-22 2021-07-29 IntriEnergy Inc. Method of forming anti-reflection coatings
CN115029687A (zh) * 2021-02-24 2022-09-09 中国科学院微电子研究所 抗反射膜形成方法
US20230033827A1 (en) * 2021-07-21 2023-02-02 Applied Materials, Inc. Uniformity control for plasma processing

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5824951B2 (ja) * 1974-10-09 1983-05-24 ソニー株式会社 コウガクソウチ
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPH0789884B2 (ja) * 1987-03-25 1995-10-04 キッコーマン株式会社 醤油の製成方法及び装置
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
JPH0799057B2 (ja) * 1988-01-22 1995-10-25 株式会社大林組 磁気浮上式免震装置
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JP2751420B2 (ja) * 1989-06-16 1998-05-18 セイコーエプソン株式会社 半導体装置の製造方法
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
FR2666324B1 (fr) * 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
EP0488112B1 (en) * 1990-11-30 1994-08-03 Central Glass Company, Limited Method of forming thin film of amorphous silicon by plasma CVD
JPH04276621A (ja) * 1991-03-04 1992-10-01 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜の形成方法および形成装置
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5286581A (en) * 1991-08-19 1994-02-15 Motorola, Inc. Phase-shift mask and method for making
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
JPH05275345A (ja) * 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
US5320864A (en) * 1992-06-29 1994-06-14 Lsi Logic Corporation Sedimentary deposition of photoresist on semiconductor wafers
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
TW363146B (en) * 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
JP3274217B2 (ja) * 1993-04-05 2002-04-15 株式会社リコー 液晶表示装置の製造方法
JPH07238379A (ja) * 1993-07-19 1995-09-12 Ulvac Japan Ltd Cvd法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5665214A (en) * 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002517089A (ja) * 1998-05-22 2002-06-11 アプライド マテリアルズ インコーポレイテッド 浅いトレンチ分離のための自己平坦化絶縁層を形成する方法
JP2013524549A (ja) * 2010-04-13 2013-06-17 アプライド マテリアルズ インコーポレイテッド 結晶性太陽電池上の機能的および光学的グレーデッドARC層のための多層SiN

Also Published As

Publication number Publication date
US7070657B1 (en) 2006-07-04
DE69621750D1 (de) 2002-07-18
US5968324A (en) 1999-10-19
DE69621750T2 (de) 2003-01-30
JP2007180561A (ja) 2007-07-12
JP3930932B2 (ja) 2007-06-13
EP0778496A3 (en) 1998-10-14
EP0778496A2 (en) 1997-06-11
KR100459982B1 (ko) 2005-04-06
KR970052032A (ko) 1997-07-29
EP0778496B1 (en) 2002-06-12

Similar Documents

Publication Publication Date Title
JP3930932B2 (ja) アンチレフレクティブコーティング及びその堆積の方法
US6853043B2 (en) Nitrogen-free antireflective coating for use with photolithographic patterning
EP0877098B1 (en) Method and system for depositing films
KR100513568B1 (ko) 기판에대한포토리소그래픽처리의정확도를개선시키는방법및장치
US7332262B2 (en) Photolithography scheme using a silicon containing resist
JP3320685B2 (ja) 微細パターン形成方法
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
KR100188508B1 (ko) 비정질탄소막을 사용하는 패턴형성방법과 에칭방법 및 비정질탄소막 형성방법
US6841341B2 (en) Method of depositing an amorphous carbon layer
US6209484B1 (en) Method and apparatus for depositing an etch stop layer
US6451512B1 (en) UV-enhanced silylation process to increase etch resistance of ultra thin resists
JP2009141329A (ja) 液浸リソグラフィーにおけるパターン崩壊を防止するためのプラズマ表面処理
US20090197086A1 (en) Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
JPH0955351A (ja) 半導体装置の製造方法
US6133613A (en) Anti-reflection oxynitride film for tungsten-silicide substrates
JP2000075491A (ja) フォトリソグラフィー法の実行過程での反射の影響の低減方法
GB2108756A (en) Forming a pattern of a thin film having metallic lustre
CN113156770A (zh) 光致抗蚀剂层表面处理、盖层和形成光致抗蚀剂图案的方法
JPH0851072A (ja) レジストパターン形成方法および反射防止膜形成方法
Xu et al. Double-layer inorganic antireflective system for KrF lithography
EP0794460A2 (en) A process for device fabrication and an anti-reflective coating for use therein
EP1063568B1 (en) Method of forming a fine pattern and method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060704

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070104

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070306

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070312

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100316

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110316

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110316

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120316

Year of fee payment: 5

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130316

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140316

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees