DE69621750T2 - Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung - Google Patents

Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung

Info

Publication number
DE69621750T2
DE69621750T2 DE69621750T DE69621750T DE69621750T2 DE 69621750 T2 DE69621750 T2 DE 69621750T2 DE 69621750 T DE69621750 T DE 69621750T DE 69621750 T DE69621750 T DE 69621750T DE 69621750 T2 DE69621750 T2 DE 69621750T2
Authority
DE
Germany
Prior art keywords
depositing
reflective coating
reflective
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69621750T
Other languages
English (en)
Other versions
DE69621750D1 (de
Inventor
David Cheung
Joe Feng
Judy H Huang
Wai-Fan Yau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69621750D1 publication Critical patent/DE69621750D1/de
Application granted granted Critical
Publication of DE69621750T2 publication Critical patent/DE69621750T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Materials For Photolithography (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
DE69621750T 1995-12-05 1996-12-05 Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung Expired - Fee Related DE69621750T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56733895A 1995-12-05 1995-12-05
US08/672,888 US5968324A (en) 1995-12-05 1996-06-28 Method and apparatus for depositing antireflective coating

Publications (2)

Publication Number Publication Date
DE69621750D1 DE69621750D1 (de) 2002-07-18
DE69621750T2 true DE69621750T2 (de) 2003-01-30

Family

ID=27074447

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69621750T Expired - Fee Related DE69621750T2 (de) 1995-12-05 1996-12-05 Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung

Country Status (5)

Country Link
US (2) US5968324A (de)
EP (1) EP0778496B1 (de)
JP (2) JP3930932B2 (de)
KR (1) KR100459982B1 (de)
DE (1) DE69621750T2 (de)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JP3827839B2 (ja) * 1997-11-27 2006-09-27 富士通株式会社 半導体装置の製造方法
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
DE69835276T2 (de) * 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US6063666A (en) * 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6924196B1 (en) * 1999-08-06 2005-08-02 Newport Fab, Llc Anti-reflective coating and process using an anti-reflective coating
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6242361B1 (en) * 1999-12-13 2001-06-05 Industrial Technology Research Institute Plasma treatment to improve DUV photoresist process
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6936405B2 (en) 2000-02-22 2005-08-30 Brewer Science Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
WO2001063358A1 (en) * 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6376392B1 (en) 2001-05-18 2002-04-23 Industrial Technology Research Institute PECVD process for ULSI ARL
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
US20050255410A1 (en) 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
KR20050115634A (ko) * 2004-06-04 2005-12-08 삼성전자주식회사 플라즈마 강화 화학기상증착설비를 이용한 화학기상증착방법
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7657143B2 (en) * 2005-10-07 2010-02-02 Novatronix Corporation Method for improving refractive index control in PECVD deposited a-SiNy films
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
EP2245512B1 (de) * 2008-01-29 2019-09-11 Brewer Science, Inc. On-track-prozess zur strukturierung einer hardmaske durch mehrere dunkelfeldbelichtungen
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110272024A1 (en) * 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP6903040B2 (ja) * 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2021150470A1 (en) * 2020-01-22 2021-07-29 IntriEnergy Inc. Method of forming anti-reflection coatings
CN115029687A (zh) * 2021-02-24 2022-09-09 中国科学院微电子研究所 抗反射膜形成方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5824951B2 (ja) * 1974-10-09 1983-05-24 ソニー株式会社 コウガクソウチ
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPH0789884B2 (ja) * 1987-03-25 1995-10-04 キッコーマン株式会社 醤油の製成方法及び装置
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
JPH0799057B2 (ja) * 1988-01-22 1995-10-25 株式会社大林組 磁気浮上式免震装置
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JP2751420B2 (ja) * 1989-06-16 1998-05-18 セイコーエプソン株式会社 半導体装置の製造方法
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
FR2666324B1 (fr) * 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
EP0488112B1 (de) * 1990-11-30 1994-08-03 Central Glass Company, Limited Verfahren zum Bilden einer amorphen Siliziumdünnschicht mittels Plasma-CVD
JPH04276621A (ja) * 1991-03-04 1992-10-01 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜の形成方法および形成装置
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5286581A (en) * 1991-08-19 1994-02-15 Motorola, Inc. Phase-shift mask and method for making
US5224441A (en) * 1991-09-27 1993-07-06 The Boc Group, Inc. Apparatus for rapid plasma treatments and method
JPH05275345A (ja) * 1992-03-30 1993-10-22 Nippon Sheet Glass Co Ltd プラズマcvd方法およびその装置
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
US5320864A (en) * 1992-06-29 1994-06-14 Lsi Logic Corporation Sedimentary deposition of photoresist on semiconductor wafers
TW363146B (en) * 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
JP3274217B2 (ja) * 1993-04-05 2002-04-15 株式会社リコー 液晶表示装置の製造方法
JPH07238379A (ja) * 1993-07-19 1995-09-12 Ulvac Japan Ltd Cvd法
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5665214A (en) * 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating

Also Published As

Publication number Publication date
EP0778496A3 (de) 1998-10-14
US5968324A (en) 1999-10-19
KR970052032A (ko) 1997-07-29
US7070657B1 (en) 2006-07-04
JP3930932B2 (ja) 2007-06-13
EP0778496B1 (de) 2002-06-12
KR100459982B1 (ko) 2005-04-06
JP2007180561A (ja) 2007-07-12
DE69621750D1 (de) 2002-07-18
JPH09246180A (ja) 1997-09-19
EP0778496A2 (de) 1997-06-11

Similar Documents

Publication Publication Date Title
DE69621750T2 (de) Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung
DE69707257D1 (de) Vorrichtung und Verfahren zur Heisstauchbeschichtung
DE69704097D1 (de) Yttrium-enthaltende hartstoffbeschichtung und verfahren zur ablagerung derselben
DE69605337T2 (de) Positionierungssystem und Verfahren und Apparat zur Herstellung einer Vorrichtung
DE69807949D1 (de) Verfahren und Vorrichtung zur Herstellung einer Dünnschicht
DE69635243D1 (de) Verfahren und einrichtung zur reichweitenerhöhung im telekommunikationsnetz eines telekommunikationssystems
DE69621547D1 (de) Belichtungsapparat und Verfahren zur Herstellung einer Vorrichtung
DE69307523T2 (de) Vorrichtung und verfahren zur elektrostatischen sprühbeschichtung
DE69830609D1 (de) Verfahren und vorrichtung zur neutralisierung einer elektrostatisch geladenen oberfläche
DE69535753D1 (de) Vorrichtung und verfahren zur mehrschichtigen beschichtigung und zur wulstbeschichtung
DE69633844D1 (de) Verfahren und Vorrichtung zur mehrfachen Kommunikation
DE69618900D1 (de) Verfahren und vorrichtung zur interpolation einer formfreien oberfläche
DE69627357D1 (de) Verfahren und vorrichtung zur trocknung eines beschichteten substrats
DE69701134T2 (de) Vorrichtung und verfahren zur trocknung einer beschichtigung auf einem substrat
DE59702419D1 (de) Verfahren und Vorrichtung zur Sputterbeschichtung
DE69509651D1 (de) Verfahren und vorrichtung zur kombinierten walzen- und extrusionsbeschichtung
DE69838942D1 (de) Verfahren und vorrichtung zur automatischen beschichtung
DE60010994D1 (de) Verfahren und Vorrichtung zur Herstellung einer Beschichtungsfolie
DE59609506D1 (de) Verfahren und Vorrichtung zum Umbugen einer Überzugsschicht um eine Kante
DE69606871D1 (de) Verfahren zur herstellung überzogener gegenstände
DE69522830T2 (de) Verfahren und gerät zur interferenzbegrenzung unter satellitenanordnungen
GB2292751B (en) Optical device and method for coating an optical substrate
DE69605561D1 (de) Verfahren zur beschichtung
DE59711407D1 (de) Verfahren und Vorrichtung zur Innenbeschichtung einer Gasflasche
DE69231293D1 (de) Verfahren und vorrichtung zur plasmabeschichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee