JPH09181729A - Atm端末用時分割多重通信制御回路 - Google Patents

Atm端末用時分割多重通信制御回路

Info

Publication number
JPH09181729A
JPH09181729A JP7334688A JP33468895A JPH09181729A JP H09181729 A JPH09181729 A JP H09181729A JP 7334688 A JP7334688 A JP 7334688A JP 33468895 A JP33468895 A JP 33468895A JP H09181729 A JPH09181729 A JP H09181729A
Authority
JP
Japan
Prior art keywords
cell
vpi
vci
transmission
reception
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7334688A
Other languages
English (en)
Inventor
Fumihiro Okazaki
文裕 岡▲崎▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP7334688A priority Critical patent/JPH09181729A/ja
Priority to US08/771,381 priority patent/US5875174A/en
Publication of JPH09181729A publication Critical patent/JPH09181729A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q11/00Selecting arrangements for multiplex systems
    • H04Q11/04Selecting arrangements for multiplex systems for time-division multiplexing
    • H04Q11/0428Integrated services digital network, i.e. systems for transmission of different types of digitised signals, e.g. speech, data, telecentral, television signals
    • H04Q11/0478Provisions for broadband connections
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5614User Network Interface
    • H04L2012/5616Terminal equipment, e.g. codecs, synch.
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5672Multiplexing, e.g. coding, scrambling
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5678Traffic aspects, e.g. arbitration, load balancing, smoothing, buffer management
    • H04L2012/5679Arbitration or scheduling
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • H04L12/5601Transfer mode dependent, e.g. ATM
    • H04L2012/5678Traffic aspects, e.g. arbitration, load balancing, smoothing, buffer management
    • H04L2012/5681Buffer or queue management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)
  • Synchronisation In Digital Transmission Systems (AREA)

Abstract

(57)【要約】 【課題】 既存のCATV網などの回線側に多重化装置
を持たないネットワークを用いてATMによる時分割多
重通信を行えるようにする。 【解決手段】 自端末で発生した情報はATM送信回路
210でセルに組み立てられ、送信セル流212に挿入
され出力される。送信セル流212のうちの実際の送信
したい情報を含まないアンアサインドセルおよびアイド
ルセルは無効セル除去回路110で取り除かれ、実際に
送信したい情報を含むセルだけが送信時刻までFIFO
メモリ120に保持される。基準時刻を示すセルがAT
M受信回路220Aに受信されたことを受信VPI/V
CI検出回路150Aにより検出し、その検出した時刻
を基準時刻としてタイマ160Aにより送信セルを回線
上に出力するタイミング161を作成し、それを受けて
送信制御回路130がFIFOメモリ120に保持され
ていた送信セルを読みだして回線側に送り出す。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はATM端末用時分割
多重通信制御回路に関し、特に、回線側に多重化装置を
持たないネットワークにおいて時分割多重通信をおこな
うATM端末用時分割多重通信制御回路に関する。
【0002】
【従来の技術】ATMを用いたネットワークにおいて、
複数の端末を用いて時分割多重通信をおこなう場合に
は、複数の端末から発生した情報を単一の回線にのせる
ために時分割多重化をおこなう必要がある。
【0003】この種のATM端末用時分割多重通信制御
回路は、複数の端末で発生した情報を単一の回線にのせ
ることを目的として用いられているが、従来の回路は回
線側に多重化装置が備えられていることが必要である。
【0004】たとえば、特開平5−114912公報に
は、回線側に多重化装置を用いたシステムで、複数のメ
ディアから発生した情報の同期をとる目的の時分割多重
通信制御技術が記載されている。
【0005】
【発明が解決しようとする課題】上述した従来のATM
端末用時分割多重通信制御回路では、回線側に多重化装
置が必要となるため、既存のCATV網などの回線側に
多重化装置を持たないネットワークの回線をそのままA
TM回線として流用、あるいは本来の用途と兼用したり
することができないという欠点があった。
【0006】その理由は、複数の端末がある場合、各端
末はそれぞれ独立して動作しており、それぞれ非同期に
情報が発生するため、各端末で発生した情報には時間的
に重なり合う部分が存在するので、それらの情報を多重
化装置を用いずにそのまま回線にのせると回線上で情報
の衝突がおこるからである。
【0007】本発明の目的は、既存のCATV網などの
回線側に多重化装置を持たないネットワークを用いてA
TMによる時分割多重通信をおこなうためのATM端末
用時分割多重通信制御回路を提供することにある。
【0008】
【課題を解決するための手段】本発明のATM端末用時
分割多重通信制御回路は、ホスト側からあらかじめ定め
られたある特定のVPI/VCI(Virtual P
ath Identifier/Virtual Ch
annel Identifier)のセルが周期的に
受信側回線を通して送られてくる、ATMを用いたネッ
トワークシステムのATM端末用時分割多重通信制御回
路であって、前記セルがATM受信回路に受信されたこ
とを検出し、VPI/VCI検出信号を出力する受信V
PI/VCI検出手段と、VPI/VCI検出信号を受
けた時刻を基準時刻として計時をおこない、1つあるい
は複数のあらかじめ定めた時間が経過したときに送信開
始信号を出力するタイマ手段と、ATM送信回路が出力
する送信セル流からアンアサインドセルとアイドルセル
を取り除き、有効セルだけを出力する無効セル除去手段
と、前記無効セル除去手段の出力した有効セルを保持す
るFIFOメモリ手段と、前記送信開始信号を受けて前
記FIFOメモリ手段からセルを読み出して送信側回線
に送り出す送信制御手段とを有する。
【0009】ホスト側で作られ、周期的に受信側回線を
通り送られてくる、あらかじめ定められたある特定のV
PI/VCIのセルはATM受信回路に受信されたこと
を受信VPI/VCI検出手段によって検出し、その受
信を検出した時刻を基準時刻として、自端末で発生した
情報をのせた送信セルを送信側回線に出力するタイミン
グをタイマ手段で作成することによって、自端末をホス
ト側に同期して回線上に送信セルを出力するように動作
させることができる。そのため、そのネットワークに接
続されている全ての端末が本発明のATM端末用時分割
多重通信制御回路を備えていれば、それぞれの端末が回
線上に送信セルを出力するタイミングを互いに時間的に
重なり合わないように選ぶことにより、既存のCATV
網などのような多重化装置を持たない回線を用いて時分
割多重通信を行うことができる。
【0010】前述のように、自端末で発生した情報をの
せた送信セルを回線上に出力するタイミングの基準時刻
とする、ある特定のVPI/VCIのセルがATM受信
回路に受信されたことの検出をハードウエアによってお
こない、また、その受信されたことを検出した時刻(基
準時刻)から自端末が割り当てられたタイムスロットの
送信時刻までの待ち時間の計時もハードウエアによるタ
イマ手段によっておこなうので、それらをソフトウエア
でおこなった場合に問題となる、そのときのソフトウエ
アの負荷の重さや端末装置のCPUバスの使用率の影響
をほとんど受けない。そのため、基準時刻を示すセルが
受信されたことを検出する周期の変動および待ち時間の
計時の誤差が小さいので、あるタイムスロットと次のタ
イムスロットの送信セルが回線上で衝突しないようにす
るために入れるガードタイム(どの端末も送信していな
い時間)を短くでき、通信の実効速度を上げることがで
きる。
【0011】送信セルを、発生した時刻から実際に送信
する時刻までFIFOメモリ手段により保持しておくの
で、ソフトウエアは送信する時刻について特に考慮する
必要がなく、情報が発生した時点で送信動作を行うこと
ができる。そのため、ソフトウエアの負荷を軽くでき、
CPUを効率的に利用できる。
【0012】ATM送信回路の出力する送信セル流か
ら、実際に送信した情報を含まない無効セル(アンアサ
インドセルおよびアイドルセル)を無効セル除去手段で
取り除き、有効セル(実際に送信した情報を含むセル)
だけをFIFOメモリ手段に書き込む。そのためFIF
Oメモリ手段の容量を小さくできる。同じ理由で、無効
なセルが回線上に送信されないため、通信の実効速度を
上げることができる。
【0013】本発明の実施態様によれば、受信VPI/
VCI検出手段は、受信セルのヘッダ中のVPI/VC
I値と、検出するVPI/VCI値を比較し、両者が一
致したときに前記VPI/VCI検出信号を出力する比
較手段から構成される。
【0014】本発明の他の実施態様によれば、ATM受
信回路が、受信時に外付けの受信ルックアップテーブル
を参照する構成のものである場合に、受信VPI/VC
I検出手段が、受信セルのVPI/VCI値に対応した
ATM受信回路が出力する受信ルックアップテーブルの
アドレスと、検出するVPI/VCI値に対応した受信
ルックアップテーブルのアドレスを比較し、両者が一致
したときに前記VPI/VCI検出信号を出力する比較
手段から構成される。
【0015】本発明のさらに他の実施態様によれば、受
信VPI/VCI検出手段が検出するVPI/VCI値
と、タイマ手段がVPI/VCI信号を受けて送信開始
信号を出力するまでの待ち時間が、CPUインターフェ
ースを介してCPUからソフトウエアで設定される。
【0016】
【発明の実施の形態】次に、本発明の実施形態について
図面を参照して説明する。
【0017】図1は本発明の第1の実施形態を示すブロ
ック図である。
【0018】ATM端末用時分割多重通信制御回路10
0Aは、無効セル除去手段である無効セル除去回路11
0と、FIFOメモリ手段であるFIFOメモリ120
と、送信制御手段である送信制御回路130と、CPU
インターフェース140と、受信VPI/VCI検出手
段である受信VPI/VCI検出回路150Aと、タイ
マ手段であるタイマ160Aで構成されている。
【0019】無効セル除去回路110はATM送信回路
210に接続され、送信セル流212のうち有効セル1
11だけを出力する。
【0020】FIFOメモリ120は無効セル除去回路
110に接続され、有効セル111を送信すべき時刻ま
で保持する。
【0021】受信VPI/VCI検出回路150AはA
TM受信回路220AおよびCPUインターフェース1
40に接続され、ATM受信回路220Aがあらかじめ
設定された特定のVPI/VCIのセルを受信したこと
を検出し、VPI/VCI検出信号151を出力する。
【0022】タイマ160Aは受信VPI/VCI検出
回路150AおよびCPUインターフェース140に接
続され、VPI/VCI検出信号151が入力される
と、あらかじめ設定された時間が経過した後、送信開始
信号161を出力する。
【0023】送信制御回路130はFIFOメモリ12
0、タイマ160AおよびCPUインターフェース14
0に接続され、タイマ160Aから送信開始信号161
が入力されたときにFIFOメモリ120にセルが存在
し、かつ、送信が許可に設定されている場合に限りFI
FOメモリ120から送信セル121を読みだして送信
側回線401へ出力する。
【0024】CPUインターフェース140は、CPU
310とメモリ320とATM送信回路210とATM
受信回路220AとCPUバス301からなる端末装置
のCPUバス301に接続され、端末装置のCPU31
0からの各設定値141,142,143を、受信VP
I/VCI検出回路150A、タイマ160A、および
送信制御回路130にそれぞれ設定する。
【0025】次に、本実施形態の動作について説明す
る。
【0026】本実施形態では、ネットワークのホスト側
から、時分割多重をおこなうための基準時刻として、あ
らかじめ定めたある複数のタイムスロットを1フレーム
としたときの毎フレームの先頭で、あらかじめ定めたあ
る特定のVPI/VCIのセル(以下、フレーム同期用
セルと呼ぶ)が受信側回線402を通して送られてくる
ものとする。
【0027】まず、あらかじめ、端末装置のCPU31
0は、CPUインターフェース140を介して、受信V
PI/VCI検出回路150Aにフレーム同期用セルの
VPI/VCI(検出する受信セルのVPI/VCI)
141を、タイマ160Aに基準時刻(フレーム同期用
セルがATM受信回路220Aに受信されたことを検出
した時刻)から自端末に割り当てられたタイムスロット
の送信時刻までの待ち時間142を、送信制御回路13
0に送信の許可/禁止の設定143を、それぞれ設定し
ておく。
【0028】自端末で発生した情報は端末装置のCPU
バス301を介してATM送信回路210へ送られ、そ
こでセルに組み立てられ送信セル流212に挿入され出
力される。
【0029】ATM送信回路210から出力された送信
セル流212および実際に送信したい情報を含んだ有効
セルと実際に送信したい情報を含まない無効セル(アン
アサインドセルおよびアイドルセル)のどちらが現在出
力されているかを示す送信セル識別信号211は無効セ
ル除去回路110に入力される。
【0030】無効セル除去回路110は、送信セル識別
信号211がATM送信回路210から有効セルが出力
されていることを示している期間だけ、入力された送信
セル流212を有効セル111としてFIFOメモリ1
20に書き込む。この無効セル除去回路110は、例え
ばゲート回路を用いてFIFOメモリ120の書き込み
クロックとして使用する送信データクロックを送信セル
識別信号でマスクすることで実現できる。
【0031】FIFOメモリ120は、書き込まれた有
効セルを自端末が割り当てられたタイムスロットの送信
時刻に読みだされるまで保持しておく。
【0032】一方、受信VPI/VCI検出回路150
Aは、ATM受信回路220Aの受信セルのVPI/V
CI221をあらかじめ設定されているフレーム同期用
セルのVPI/VCI141とを比較し、両者が一致し
たときにVPI/VCI検出信号151を出力する。こ
の受信VPI/VCI検出回路150Aは、例えば比較
器で実現できる。
【0033】タイマ160Aは、はじめは停止してお
り、VPI/VCI検出信号151が入力されると計時
を始め、あらかじめ設定されている待ち時間142に等
しい時間が経過したとき送信開始信号161を出力する
とともに、初期状態に戻り次のVPI/VCI検出信号
151が入力されるまで停止している。このタイマ16
0Aは、例えば発振器とカウンタと比較器とフリップフ
ロップの組み合わせで実現できる。
【0034】送信制御回路130は、送信開始信号16
1が入力されたときにFIFOメモリ120内にセルが
存在し、なおかつ、送信の許可/禁止の設定143が許
可に設定されている場合は、FIFOメモリ120から
1タイムスロット分だけ送信セル121を読みだして送
信側回線401に出力する。また、FIFOメモリ12
0にセルが存在しないか、送信の許可/禁止の設定14
3が禁止に設定されている場合には何もおこなわない。
【0035】図2は、本発明の第2の実施形態を示すブ
ロック図である。
【0036】本実施形態のATM端末用時分割多重通信
制御回路100Bは、図1に示す第1の実施形態と、受
信VPI/VCI検出回路150Bへの入力信号が異な
っており、ATM受信回路220Aが、受信時に外付け
の受信ルックアップテーブル230を受信VPI/VC
Iに対応する値をアドレスとして参照する構成のもので
ある場合に可能な構成である。
【0037】受信VPI/VCI検出回路150Bは、
ATM受信回路220Bの受信ルックアップテーブルの
アドレスバス222とCPUインターフェース140に
接続される。
【0038】次に、本実施形態の動作について説明す
る。
【0039】あらかじめ、端末装置のCPU310は、
第1の実施形態のフレーム同期用セルのVPI/VCI
141の代わりに、フレーム同期用セルのVPI/VC
Iに対応する受信ルックアップテーブル230のアドレ
ス144をCPUインターフェース140を介して、受
信VPI/VCI検出回路150Bに設定しておく。
【0040】ATM受信回路220Bは、セルを受信し
たときに必ず一度だけ、受信ルックアップテーブル23
0の受信VPI/VCIに対応したアドレスをアクセス
する。受信VPI/VCI検出回路150Bは、ATM
受信回路220Bが受信ルックアップテーブルのアドレ
スバス222に出力した受信セルのVPI/VCIに対
応した受信ルックアップテーブル230のアドレスと、
あらかじめ設定されているフレーム同期用セルのVPI
/VCIに対応した受信ルックアップテーブル230の
アドレスを比較し、両者が一致したときにVPI/VC
I検出信号151を出力する。例えば、この受信VPI
/VCI検出回路150Bは比較器で構成できる。
【0041】この構成では、受信セルのヘッダ中のVP
I/VCIの値そのものを直接検出する代わりに、受信
ルックアップテーブル230のそのVPI/VCIに対
応したアドレスがアクセスされたことを検出すること
で、間接的にある特定のVPI/VCIのセルが受信さ
れたことを検出する。
【0042】この構成は、ATM受信回路220Bが受
信したセルのVPI/VCIの値そのものを外部に出力
していないものである場合に受信VPI/VCI検出を
実現するために有効な構成である。
【0043】図3は、本発明の第3の実施形態を示すブ
ロック図である。
【0044】本実施形態のATM端末用時分割多重通信
制御回路100Cは、図1に示す第1の実施形態とタイ
マ160Bが異なっており、1フレーム中に3つのタイ
ムスロットを使用できるようにした構成である。
【0045】タイマ160Bは送信制御回路130と受
信VPI/VCI検出回路150とCPUインターフェ
ース140に接続される。
【0046】次に、この回路の動作について説明する。
【0047】あらかじめ、端末装置のCPU310は、
タイマ160Bに、第1の実施形態の基準時刻から自端
末に割り当てられたタイムスロットの送信時刻までの待
ち時間142の代わりに、基準時刻から自端末に割り当
てられた第1のタイムスロットの送信時刻までの待ち時
間142a、第2のタイムスロットの送信時刻までの待
ち時間142b、第3のタイムスロットの送信時刻まで
の待ち時間142cをCPUインターフェース140を
介して設定しておく。
【0048】タイマ160Bは、はじめは停止してお
り、VPI/VCI検出信号151が入力されると計時
を始め、あらかじめ設定されている第1のタイムスロッ
トの送信時刻までの待ち時間142aに等しい時間が経
過したとき送信開始信号161を出力し、さらに第2の
タイムスロットの送信時刻までの待ち時間142bに等
しい時間が経過したときに送信開始信号161を出力
し、第3のタイムスロットの送信時刻までの待ち時間1
42cに等しい時間が経過したときに送信開始信号16
1を出力するとともに初期状態に戻り、次のVPI/V
CI検出信号151が入力されるまで停止している。た
だし、待ち時間142aおよび142bについてはその
値が0のときには送信開始信号161を出力しない。そ
のため、例えば必要とする通信速度がタイムスロット1
つ分で足りるときには待ち時間142aおよび142b
には0を設定することで、それら2つのタイムスロット
では送信をおこなわないので、それらのタイムスロット
を他の端末に割り当てることができる。従って、無駄に
タイムスロットを消費しない。
【0049】このタイマ160Bは、例えば発振器とカ
ウンタと3つの比較器とフリップフロップの組み合わせ
で実現できる。
【0050】この構成では、ホスト側と通信しながら、
必要な通信速度に応じて使用するタイムスロットの数を
動的に変化させることによって、回線を効率よく使用す
ることが出来る。
【0051】
【発明の効果】以上説明したように、本発明は下記のよ
うな効果がある。 (1)回線側に多重化装置を持たないネットワークを用
いてATMによる時分割多重通信が行える。そのため、
既存のCATV網などをそのまま流用したり、あるいは
本来の用途と兼用したりすることが可能となる。
【0052】その理由は、そのネットワークに接続され
た全ての端末が、ホスト側から送られてくるフレーム同
期用のセルを受信したことを検出した時刻を基準にして
回線上に送信セルを出力するタイミングを作成するの
で、回線側からみれば全ての端末がホスト側に同期して
回線上にセルを送信していることになり、そのため、そ
れぞれの端末から送信されるセルが回線上で互いに衝突
しないように各端末の送信タイミングを制御することが
可能なので、回線側に多重化装置が必要でなくなるから
である。 (2)自端末に割り当てられたタイムスロットの送信時
刻と実際に送信する時刻の誤差が小さいので、あるタイ
ムスロットと次のタイムスロットの間で送信時刻の誤差
による回線上での送信セルの衝突を起こさないために必
要なガードタイムを短くすることができ、そのため、通
信の実効速度を上げることができるようになる。
【0053】その理由は、ホスト側から送られてくるフ
レーム同期用のセルが受信されたことの検出およびその
検出した時刻から自端末に割り当てられたタイムスロッ
トの送信時刻までの計時および回線上に送信する処理を
ハードウエアで行うので、同様の処理をソフトウエアで
行った場合に問題となる端末上で動作しているソフトウ
エアの負荷の重さや端末装置のCPUバスの使用率の影
響をほとんど受けず、フレーム同期用セルの受信を検出
する周期の変動および送信時刻までの計時の誤差が小さ
いからである。 (3)送信したい情報を含まないセル(アンアサインド
セルおよびアイドルセル)が送信されないため、通信の
実効速度を上げることができるようになる。
【0054】その理由は、ATM送信回路の送信セル流
中に含まれる、送信したい情報を含まないセル(アンア
サインドセルおよびアイドルセル)を除去しているから
である。
【図面の簡単な説明】
【図1】本発明の第1の実施形態を示すブロック図であ
る。
【図2】本発明の第2の実施形態を示すブロック図であ
る。
【図3】本発明の第3の実施形態を示すブロック図であ
る。
【符号の説明】
100A,100B,100C ATM端末用時分割
多重通信制御回路 110 無効セル除去回路 111 有効セル 120 FIFOメモリ 121 送信セル130 送信制御回路 140 CPUインターフェース 141 フレーム同期用セルのVPI/VCI 142 フレーム同期用セルがATM受信回路220
Aに受信されたことを検出した時刻から自端末に割り当
てられたタイムスロットの送信時刻までの待ち時間 142a フレーム同期用セルがATM受信回路220
Aに受信されたことを検出した時刻から自端末に割り当
てられた第1のタイムスロットの送信時刻までの待ち時
間 142b フレーム同期用セルがATM受信回路220
Aに受信されたことを検出した時刻から自端末に割り当
てられた第2のタイムスロットの送信時刻までの待ち時
間 142c フレーム同期用セルがATM受信回路220
Aに受信されたことを検出した時刻から自端末に割り当
てられた第3のタイムスロットの送信時刻までの待ち時
間 143 送信の許可/禁止の設定 144 フレーム同期用セルのVPI/VCIに対応
する受信ルックアップテーブル230のアドレス 150A,150B 受信VPI/VCI検出回路 151 VPI/VCI検出信号 160A,160B タイマ 161 送信開始信号 210 ATM送信回路 211 送信セル識別信号 212 送信セル流 220A、220B ATM受信回路 221 受信セルのVPI/VCI 222 受信ルックアップテーブル230のアドレス
バス 230 受信ルックアップテーブル 231 受信ルックアップテーブル230のデータバ
ス 301 端末装置のCPUバス 310 端末装置のCPU 320 端末装置のメモリ 401 送信側回線 402 受信側回線

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 ホスト側からあらかじめ定められたある
    特定のVPI/VCIのセルが周期的に受信側回線を通
    して送られてくる、ATMを用いたネットワークシステ
    ムのATM端末用時分割多重通信制御回路であって、 前記セルがATM受信回路に受信されたことを検出し、
    VPI/VCI検出信号を出力する受信VPI/VCI
    検出手段と、 前記VPI/VCI検出信号を受けた時刻を基準時刻と
    して計時をおこない、1つあるいは複数のあらかじめ定
    めた時間が経過したときに送信開始信号を出力するタイ
    マ手段と、 前記ATM送信回路が出力する送信セル流からアンアサ
    インドセルとアイドルセルを取り除き、有効セルだけを
    出力する無効セル除去手段と、 前記無効セル除去手段の出力した前記有効セルを保持す
    るFIFOメモリ手段と、 前記送信開始信号を受けて前記FIFOメモリ手段から
    セルを読み出して送信側回線に送り出す送信制御手段と
    を有するATM端末用時分割多重通信制御回路。
  2. 【請求項2】 前記受信VPI/VCI検出手段が、受
    信セルのヘッダ中のVPI/VCI値と、検出するVP
    I/VCI値を比較し、両者が一致したときに前記VP
    I/VCI検出信号を出力する比較手段から構成され
    る、請求項1記載のATM端末用時分割多重通信制御回
    路。
  3. 【請求項3】 前記ATM受信回路が、受信時に外付け
    の受信ルックアップテーブルを参照する構成のものであ
    る場合に、前記受信VPI/VCI検出手段が、受信セ
    ルのVPI/VCI値に対応した、前記ATM受信回路
    が出力する受信ルックアップテーブルのアドレスと、検
    出するVPI/VCI値に対応した受信ルックアップテ
    ーブルのアドレスを比較し、両者が一致したときに前記
    VPI/VCI検出信号を出力する比較手段から構成さ
    れる、請求項1記載のATM端末用時分割多重通信制御
    回路。
  4. 【請求項4】 前記受信VPI/VCI検出手段が検出
    するVPI/VCI値と、前記タイマ手段が前記VPI
    /VCI信号を受けて前記送信開始信号を出力するまで
    の待ち時間が、CPUインターフェースを介してCPU
    からソフトウエアで設定される、請求項1記載のATM
    端末用時分割多重通信制御回路。
JP7334688A 1995-12-22 1995-12-22 Atm端末用時分割多重通信制御回路 Pending JPH09181729A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP7334688A JPH09181729A (ja) 1995-12-22 1995-12-22 Atm端末用時分割多重通信制御回路
US08/771,381 US5875174A (en) 1995-12-22 1996-12-16 Time-division multiplex communication control circuit for ATM terminal

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7334688A JPH09181729A (ja) 1995-12-22 1995-12-22 Atm端末用時分割多重通信制御回路

Publications (1)

Publication Number Publication Date
JPH09181729A true JPH09181729A (ja) 1997-07-11

Family

ID=18280123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7334688A Pending JPH09181729A (ja) 1995-12-22 1995-12-22 Atm端末用時分割多重通信制御回路

Country Status (2)

Country Link
US (1) US5875174A (ja)
JP (1) JPH09181729A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015033057A (ja) * 2013-08-05 2015-02-16 沖電気工業株式会社 ゲートウェイ装置及びプログラム

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3290541B2 (ja) * 1994-05-31 2002-06-10 富士通株式会社 セル送出制御方法及びセル送出制御装置
JPH1023037A (ja) * 1996-07-05 1998-01-23 Nec Corp トラヒックシェーピング方式
US6128659A (en) * 1998-02-24 2000-10-03 Nokia Telecommunications, Oy Method and apparatus for resolving dynamic channel assignment conflict in AAL2 negotiation procedure
JP3204247B2 (ja) * 1999-05-26 2001-09-04 日本電気株式会社 固定ビットレートデータセル送出装置およびその方法
US7251752B2 (en) 2001-10-01 2007-07-31 Adams Phillip M Computerized product improvement apparatus and method
EA201590740A1 (ru) 2012-10-17 2015-09-30 Трансоушен Инновейшнз Лабс Лтд. Системы и способы связи для подводных процессоров

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03104451A (ja) * 1989-09-19 1991-05-01 Fujitsu Ltd 多段リンク交換システムのルート切替え方式
US5050161A (en) * 1989-12-04 1991-09-17 Bell Communications Research, Inc. Congestion management based on multiple framing strategy
JPH03198449A (ja) * 1989-12-27 1991-08-29 Toshiba Corp パケット交換網のパケット廃棄制御方式
JPH04100342A (ja) * 1990-08-20 1992-04-02 Toshiba Corp トラヒック制御方式
JPH0514399A (ja) * 1991-07-03 1993-01-22 Oki Electric Ind Co Ltd 画像パケツト通信システム
JP2758750B2 (ja) * 1991-10-02 1998-05-28 日本電気株式会社 セル多重バス通信制御システム
US5509001A (en) * 1991-10-18 1996-04-16 Fujitsu Limited Apparatus and method for controlling cells input to ATM network
JPH05114912A (ja) * 1991-10-21 1993-05-07 Nec Corp メデイア間同期方式
JPH05252176A (ja) * 1992-03-06 1993-09-28 Nec Corp ディジタル交換の入出力情報制御方式
US5323389A (en) * 1992-08-14 1994-06-21 Fore Systems, Inc. ATM cell interface and method for dispatching an ATM cell
JPH0744545B2 (ja) * 1993-01-21 1995-05-15 日本電気株式会社 Atmセル分解/組立てシステム
JP2999342B2 (ja) * 1993-03-19 2000-01-17 富士通株式会社 Atm伝送システムにおけるパスルート試験方式
US5467349A (en) * 1993-12-21 1995-11-14 Trw Inc. Address handler for an asynchronous transfer mode switch
KR0161613B1 (ko) * 1994-09-02 1998-12-01 가나이 쓰토무 Atm 인터페이스 및 섀핑 방법
US5583863A (en) * 1995-01-31 1996-12-10 Bell Atlantic Network Services, Inc. Full service network using asynchronous transfer mode multiplexing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015033057A (ja) * 2013-08-05 2015-02-16 沖電気工業株式会社 ゲートウェイ装置及びプログラム

Also Published As

Publication number Publication date
US5875174A (en) 1999-02-23

Similar Documents

Publication Publication Date Title
US4896316A (en) Method and system of control of flow of data packets
US6345310B1 (en) Architecture for a multiple port adapter having a single media access control (MAC) with a single I/O port
US6061348A (en) Method and apparatus for dynamically allocating bandwidth for a time division multiplexed data bus
US5602850A (en) High-speed packet bus
JPS62146041A (ja) 時分割多重通信方式
US6687255B1 (en) Data communication circuit having FIFO buffer with frame-in-FIFO generator
US6347372B1 (en) Multiprocessor control system, and a boot device and a boot control device used therein
US20040062258A1 (en) Communication system and method for communicating frames of management information in a multi-station network
WO2000022784A1 (en) Hot insertable utopia interface with automatic protection switching for backplane applications
JPH09181729A (ja) Atm端末用時分割多重通信制御回路
US7006498B2 (en) System for transmitting local area network (LAN) data frames through an asynchronous transfer mode (ATM) crossbar switch
KR100236948B1 (ko) 셀 버스 조정 장치 및 방법
JP2568458B2 (ja) データ長さ検出装置
JP3832733B2 (ja) ポーリング装置および通信装置
KR100383897B1 (ko) 클럭신호공급장치
KR20000046107A (ko) 이동통신 시스템의 기지국내 hdlc 통신장치 및 그 통신방법
CN100574288C (zh) 用于串行通信总线的数据链路层设备
JP2541747B2 (ja) 通信用スイッチングシステム
JP2972659B2 (ja) Utopiaレベル2ポーリング制御方式
JP3613203B2 (ja) セル送信制御回路及びその方法
JP3641371B2 (ja) バッファメモリ回路
KR950000671B1 (ko) Atm 방식에서의 셀 다중화 장치
JPH08316964A (ja) パケット処理装置
JPH1132016A (ja) パケット通信装置
KR100267535B1 (ko) 에이티엠단말정합장치의에프아이에프오관리시스템

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070815

Year of fee payment: 10

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 11

Free format text: PAYMENT UNTIL: 20080815

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080815

Year of fee payment: 11