JPH0881775A - 基板裏面への堆積を減少させる処理装置及び処理方法 - Google Patents

基板裏面への堆積を減少させる処理装置及び処理方法

Info

Publication number
JPH0881775A
JPH0881775A JP7153293A JP15329395A JPH0881775A JP H0881775 A JPH0881775 A JP H0881775A JP 7153293 A JP7153293 A JP 7153293A JP 15329395 A JP15329395 A JP 15329395A JP H0881775 A JPH0881775 A JP H0881775A
Authority
JP
Japan
Prior art keywords
substrate
pedestal
chamber
processing
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7153293A
Other languages
English (en)
Other versions
JP3963966B2 (ja
Inventor
Lawrence Chung-Lai Lei
チャン−ライ レイ ローレンス
Cissy S Leung
エス. リューン シシィ
Eric A Englhardt
エー. エングルハード エリック
Ashok K Sinha
ケイ. シンハ アショク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0881775A publication Critical patent/JPH0881775A/ja
Application granted granted Critical
Publication of JP3963966B2 publication Critical patent/JP3963966B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Abstract

(57)【要約】 (修正有) 【目的】 基板をより均一に加熱し、基板のエッジ及び
裏面に堆積する物質を減らす、改良された装置及び方法
を提供。 【構成】 チャンバ内14の基板支持体は、ヒーターペ
デスタルの形態であり、基板の下面を受けるための基板
受容面を有する。この周囲を制限するシャドーリング2
4は、ペデスタル61の周囲に配置され、基板の端面エ
ッジ部分を覆う。このシャドーリング24はまた、基板
の端面エッジでペデスタル61とシャドーリング24自
身の間のキャビティーを画成し、操作に際しては、チャ
ンバ14は第1の圧力で処理ガスを受容し、第1の圧力
よりも高い第2の圧力で、シャドーリング24とペデス
タル61との間のキャビティー内にパージガスが導入さ
れる。パージガスの流れを基板の端面エッジからより遠
ざけるために、流体導管が具備される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体基板上へ物質を
堆積させる装置に関する。特に、本発明は、基板裏面へ
の物質の堆積を減少させることに関する。
【0002】
【従来の技術】半導体ウエハ等の基板上へ物質の層を堆
積させるには、化学気相堆積法(CVD)又は物理気相
堆積法(PVD)を用いることができる。このプロセス
の例としては、CVDを用いたシリコン基板上へのタン
グステンの堆積が挙げられる。
【0003】タングステンCVDを開始するに当たり、
堆積チャンバ内にウエハが移送され、ウエハはこの堆積
チャンバ内でサセプタ等の支持体上に水平に、堆積面を
上にして置かれる。ウエハの上向きの堆積面は、チャン
バ内に移送される前に、窒化チタンでコーティングされ
る。その理由は、タングステンは通常のウエハの二酸化
珪素(SiO2 )表面にはすぐに付着しないからであ
る。しかし、これは窒化チタンには付着する。
【0004】コーティングがなされたウエハがチャンバ
内に移送された後は、堆積ガス、通常は弗化タングステ
ン(WF6 )が、ガス流入口又はウエハ上部に配置され
た「シャワーヘッド」を介してチャンバ内に導入され
る。代表的には、堆積プロセスの主要な部分は、250
℃〜600℃の高温及び10.7〜12kPa(80〜
90トール)の亜大気圧の条件で行われる。
【0005】タングステンCVD及びその他の基板処理
操作においては、各基板からいかに多くのダイ(チッ
プ)を得るかが最も重要な目標となる。これらの処理操
作で処理された各基板からのダイの最終的な収率には、
多くの因子が影響している。これらの因子には、基板に
付着して基板表面を汚染する汚染物の量も含まれる。ま
た、その他の因子として処理変数が挙げられ、これらは
基板表面上に堆積される物質の層の均一性及び厚さに影
響するものである。CVD及びその他のプロセスで各基
板からのダイの収率を最大にするためには、これらの因
子をはじめとする因子が細心に制御される必要がある。
【0006】しかし、CVD処理チャンバには、様々な
汚染粒子の多様な発生源が含まれ、この汚染粒子が基板
表面に付着すれば、ダイの収率が減少する。CVD処理
における粒子汚染発生源の1つに、ウエハのエッジ及び
裏面ないし下面への物質の堆積がある。様々な理由で、
この堆積物質の層は基板のエッジ又は裏面ないし下面に
はしっかりと付着せず、そのため、これらの場所に堆積
した物質の層は剥離(フレーキング)してCVD処理操
作とその後の処理操作において汚染物粒子となることが
知られている。このことは、窒化チタンがウエハのエッ
ジと裏面とに堆積されない状態で行われたタングステン
CVDプロセスにおいて特に顕著である。上述のよう
に、このことは、タングステンがこれらの場所にしっか
りとくっつかずに比較的簡単に剥離するだろうことを意
味する。
【0007】このような、エッジ及び裏面への不要な堆
積物を抑制する方法の1つに、シャドーリング(shadow
ring )を用いてこれらの場所への堆積が生じることを減
少させる方法がある。シャドーリングはマスキング材で
あり、通常は基板の上に静置されて、基板の上側、外側
及び周囲を包囲する。これは、堆積ガスが基板の接触領
域に到達する通路を制限する。シャドーリングは、プロ
セスガスが接触周囲領域に致る通路を制限するので、こ
のガスが基板のエッジ及び裏面へ致る通路も制限する。
しかし、ウエハの反りやゆがみのため、このシャドーリ
ングはあらゆる場合に良好な結果をもたらすわけではな
く、揮発性の堆積ガスはシャドーリングのリップの下を
通り抜けて基板のエッジや裏面に不要な物質を堆積させ
る。
【0008】不要な堆積物による汚染物の存在から他へ
目を向ければ、処理変数もまたダイ収率に影響する。こ
の処理変数の1つ(堆積物質層の均一性に影響を与える
変数)に、ウエハ加熱の均一性が挙げられる。
【0009】従来から用いられる処理チャンバの多くで
は、ウエハはヒーターランプによって下側から加熱され
る。このヒーターランプは、赤外線の放射によって、チ
ャンバ内で基板を支持するサセプタを加熱する。次い
で、サセプタは、熱伝導により基板を加熱する。このよ
うな装置による問題の1つは、サセプタ(代表的には8
ミリ厚のアルミニウム製でセラミックのサポートプレー
トがついている)の寿命が比較的短いことである。この
ことは、サセプタを3,000サイクル毎に交換する必
要があることを意味し、その結果、作業コストと修理時
間を増加させることになる。
【0010】この1つの解決策として、ヒーターランプ
と薄いサセプタとを、ウエハを支持し且つ加熱する1つ
のヒーターペデスタルに換えることが挙げられる。この
タイプも配置の1つの例が、米国特許出願通し番号08
/200,079号及び08/200,862号に記載
されている。ここに記載されている装置では、チャンバ
内で垂直脚(ストーク)に載置されるヒーターペデスタ
ルの平坦な支持表面上にウエハは支持される。このペデ
スタルは加熱コイルによって加熱され、次いで、ウエハ
は高温の支持ペデスタルにより加熱される。ウエハの加
熱の均一性を高めるため、この装置はウエハの下面側と
ペデスタルの平坦な支持表面との間の界面を真空に引く
機構を備えている。そして、ウエハの上と下の差圧はウ
エハをペデスタルに引っ張り、その結果、ウエハの加熱
の均一性が改善される。
【0011】
【発明が解決しようとする課題】しかし、このようにウ
エハの裏面で真空が引かれる結果、処理ガスがウエハの
エッジ近辺でウエハとペデスタルとの界面の中に引き込
まれることがある。このことは、シャドーリングが存在
していても起こり得ることであり、その結果、シャドー
リングがウエハの上に配置されていても、ウエハのエッ
ジと裏面とに不要な堆積を生じさせることになる。前に
述べたように、この不要な堆積は汚染粒子を発生させ得
る。従って、加熱の均一性を改良することに付随して、
ウエハのエッジと裏面とに不要な堆積が増加する可能性
が生じてしまう。
【0012】従って、基板をより均一に加熱し、しか
も、基板のエッジ及び裏面に堆積する物質を減らす、改
良された装置に対する要求がある。
【0013】
【課題を解決するための手段】即ち、本発明は、端面の
エッジと処理のための上側表面と支持体上にある下側表
面とを有する基板を処理するための基板処理装置であ
る。この装置は処理チャンバを有し、チャンバ内には、
基板支持体を収容する。この基板支持体は、ヒーターペ
デスタルの形態であり、基板の下面を受けるための基板
受容面を有する。この周囲を制限するシャドーリング
は、ペデスタルの周囲に配置され、基板の端面エッジ部
分を覆う。このシャドーリングはまた、基板の端面エッ
ジでペデスタルとシャドーリング自身の間のキャビティ
ーを画成する。
【0014】操作に際しては、チャンバは第1の圧力で
処理ガスを受容し、第1の圧力よりも高い第2の圧力
で、シャドーリングとペデスタルとの間のキャビティー
内にパージガスが導入される。パージガスの流れを基板
の端面エッジからより遠ざけるために、流体導管が具備
される。好適な実施例では、この流体導管は、ペデスタ
ルとシャドーリングとの間の界面において、ペデスタル
本体に形成されるチャンネルである。
【0015】
【作用】パージガスを導入して流すことにより、基板の
端面エッジ及び下面への不要な堆積物が減少したことが
見出された。
【0016】この装置には、ペデスタルの受容面におい
てガスポート開口部を有していてもよい。処理中にガス
ポートの圧力は、上記第1の圧力よりも低い第3の圧力
まで減じられる。この第3の圧力は、代表的なチャンバ
圧力である。このことにより、受容面に受容された基板
は、第1の圧力と第3の圧力との差圧により、ペデスタ
ルにしっかりと保持される。
【0017】シャドーリングは、代表的には、リップ構
造を有する基板受容着座を画成する。このリップ構造
は、使用時には、基板の上面の端面エッジを覆う。処理
中においては、基板は、基板に支持されるシャドーリン
グと共に、この着座の内部に受容される。その結果、リ
ップは基板の表面と接触して、基板の表面に、処理中の
物質の堆積が生じない排除領域を提供する。
【0018】チャンバ内に基板が存在しないときは、シ
ャドーリングは少なくとも1部においてペデスタルによ
り支持される。ペデスタルとシャドーリングの間の界面
では、ペデスタルの表面は幾つもの同心円状の溝のため
平坦ではなくなっている。この溝は、シャドーリングに
も形成されて、ペデスタルとシャドーリングの間の接触
領域を小さくする作用を有していてもよい。そしてこれ
は、シャドーリングとペデスタルの付着を減じ、シャド
ーリングとペデスタルの間の半径方向の動きを容易にす
る。
【0019】好ましくは、ペデスタルは支持体を加熱す
るヒーターを有し、この支持体に支持される基板は、熱
伝導により加熱される。
【0020】本発明は、タングステンCVDプロセスを
用いてウエハを処理する半導体ウエハ処理チャンバの用
途に特に有効であるが、これに限定されるわけではな
い。
【0021】本発明の最も重要な利点は、基板のエッジ
と裏面への堆積の発生を抑制することが出来ることであ
る。
【0022】本発明の別の利点は、ペデスタル上に支持
された基板を均一に加熱することが提供されることであ
る。
【0023】本発明のまた別の利点は、ペデスタルが加
熱を受けても、従来の薄型サセプタよりも長い寿命を有
することである。
【0024】以下、添付した図面を参照して、本発明を
更に詳細に説明する。
【0025】
【実施例】図1は典型的な金属CVD処理装置10を表
し、この図1を参照しつつ、本発明の一般的な適用例を
例示していく。装置10は、処理チャンバ14を画成す
る外部本体12を有する。処理チャンバ14は、アルミ
ニウムのヒーターペデスタル16を収容し、このヒータ
ーペデスタル16は略垂直向きのストーク18上に支持
される。ヒーターペデスタル16は、半導体ウエハ20
をその支持面22で支持する役割をもつ。
【0026】ウエハ20は、エッジを制限するシャドー
リング24に囲まれる。シャドーリング24の外側周囲
には、外側支持リング25が配置される。この外側支持
リング25は、従来型のポンピングプレート27上で支
持され、このポンピングプレート27は、さきの2つの
リング25及び27と共にチャンバ14を上側部分14
aと下側部分14bとに分割する。
【0027】処理中では、ペデスタル16は上方向に移
動し、ウエハ20をシャドーリング24に対して押して
上方向に持ち上げ、シャドーリング24はウエハ20か
ら吊り下げられ同時にウエハ20に支持される。処理が
行われない時(即ち、アイドリング状態)、ペデスタル
は下側の位置にあり、シャドーリング24は外側シャド
ーリング25とステップ構造体26とにより支持され
る。このステップ構造体26は、制限コーナーリング6
0内に形成されて、ペデスタル16の外側エッジに溶接
で付加されている。
【0028】このように支持されれば、ペデスタル16
は、加熱されたままであるので、熱伝導によりシャドー
リング24を加熱する。処理を開始する前にシャドーリ
ングを加熱するための遅れが生じないため、このことが
反応器のスループットを向上させる。
【0029】しかし、外側リング25はペデスタルによ
っては加熱されず、シャドーリング24よりも概ね低い
温度のままである。シャドーリング24から低い温度の
外側支持リング25への熱損失を防ぐため、シャドーリ
ング24は、外側リング25上の6つのパッド(図示さ
れず)の上に置かれる。その結果、シャドーリング24
から外側リング25への熱損失はほとんどなく、シャド
ーリング24を横断する大きな温度勾配はなくなる。仮
に温度勾配が大きくなれば、熱応力によりシャドーリン
グにクラックが発生する。同様に、外側リング25はポ
ンピングプレート27の上の6つのパッド(図示され
ず)上に置かれる。
【0030】このような構成の結果、ポンピングプレー
ト27と外側リング25との間と、外側リング25とシ
ャドーリング24との間とに、それぞれ小さなギャップ
が生じる。これらのギャップは、処理中及びチャンバの
アイドリング状態において見られる。堆積ガスがこれら
のギャップを介して移動することを防止するため、パー
ジガス、通常は窒素ガス又はアルゴンガスがチャンバの
下半分14b内に導入される。このガスは、毎分500
ミリリットル〜毎分2リットルの流量で導入され、これ
らのギャップの中を流れ、チャンバの下半分14bへの
堆積ガスが移動を効果的に防止する。
【0031】また、図1はペデスタル16本体を貫通す
るアパーチャー30内に受容されるウエハリフトフィン
ガー28が例示される。通常、装置10はこのリフトフ
ィンガーを4本有している。これらのリフトフィンガー
28が作動して、ペデスタル16の上面22からウエハ
20を持ち上げて離すので、ウエハを処理後にチャンバ
から除去することができる。ウエハの除去は、従来型の
処理装置ロボットアーム(図示されず)によって行わ
れ、このロボットアームは、スリットバルブを介して選
択的に開閉するポート32を介してチャンバ内に導入さ
れる。これと同じロボットアームがチャンバ14内にウ
エハを挿入するために用いられる。このリフトフィンガ
ー28は、リフティング機構34の動きにより垂直方向
に可動であり、リフティング機構34は上部のみが図示
される。
【0032】処理中においては、ヒーターペデスタル1
6は電気ヒーター要素により内側から(約300℃〜約
500℃まで、代表的には450℃まで)加熱される。
この電気ヒーター要素の詳細は後述する。次いで、ヒー
ターペデスタル16がウエハ20を熱伝導により加熱す
る。ウエハが適当な温度(好ましくは約450℃)に達
したならば、ウエハ20の上方に配置されたシャワーヘ
ッド36を介して処理ガスがチャンバ14内に導入され
る。このシャワーヘッド36の詳細と処理ガス(タング
ステンCVDプロセスの場合は弗化タングステンがよく
用いられる)がチャンバ内に導入される様式に関して
は、従来から知られている。
【0033】次に、図2及び図3を参照して、ヒーター
ペデスタル16を詳細に説明する。図1に示されるよう
にペデスタル16は平坦な上側のウエハ支持面22と、
コーナーリング60に形成されたステップ構造体26
と、4つのフィンガーアパーチャー30とを有する。前
述のように、これらのアパーチャー30はリフトフィン
ガー28を収容し、そのうちの1つは図1に例示されて
いる。
【0034】図2に示されるように、ペデスタル16
は、上側の支持表面22に同心円状の溝(グルーヴ)4
0を複数有する。これらの同心円状の溝40は、代表的
には、幅0.21mm(0.008インチ)、深さ0.
38mm(0.015インチ)、間隔2.97mm
(0.117インチ)のサイズである。これらの溝は、
半径方向(放射方向)に形成されているチャンネル42
で相互につながっている。各半径方向チャンネル42の
末端では、複数の真空ポート44がペデスタル本体内に
形成されている。図3に示されるように、これらの真空
ポート44は、主ペデスタル本体61の下側に形成され
た円形マニホールドグルーヴ46と通じている。このグ
ルーヴ46は、プラグ48によってペデスタルの下側で
シールされている。また、グルーヴ46は、ストーク1
8内部に配置される真空導管50と通じている。真空導
管50は、ペデスタル16主本体61内部の略水平導管
(図示されず)少なくとも1本により、グルーヴ46と
通気じている。
【0035】処理中においては、半導体ウエハ20は、
図3に示されるように、上面22上に置かれている。上
述のように、ペデスタル16の動きにより、ウエハ20
がシャドーリングを僅かに持ち上げる。この時、ウエハ
の外側部分は、シャドーリング24の突出したリップ5
2を上にして支え且つ覆われる。その結果、シャドーリ
ングは持上がってステップ構造体26から離れ、シャド
ーリングの下部とステップ構造体26の頂面との間に約
0.13mm(0.005インチ)〜約0.38mm
(0.015インチ)のギャップが生じる。ペデスタル
がシャドーリング24を続けて加熱できるよう、このギ
ャップはできるだけ小さくする必要がある。
【0036】典型的な堆積プロセスは、2つの別々のス
テップを含む。30秒間続く第1のステップにおいて
は、チャンバの圧力は約0.6kPa(4.5トール)
まで減じられ、チャンバ内に弗化タングステンが導入さ
れる。このステップは核生成ステップとして知られてい
るが、このステップによりウエハ上に堆積されるタング
ステン層の厚さが非常に薄くなる。この薄い層は、タン
グステン層が更に堆積されるためのベース層として機能
する。
【0037】第2のステップ(60秒未満であり、タン
グステンのほとんどがこのステップで堆積される)で
は、ウエハ20とペデスタル16の上面22との間に
「真空」が引かれる。導管50及びグルーヴ46を通じ
真空ポート44を介して、約2.7kPa(20トー
ル)まで真空を引くことにより、この真空引きが行われ
る。この真空引きによって、同心円状溝40の全てが約
2.7kPaの圧力になる。処理中のチャンバの内圧が
約10.7kPa〜約12kPa(80トール〜90ト
ール)であるので、ウエハを横断する差圧が生じる。こ
の差圧により、ウエハ20はペデスタル16の上面22
に対して引っ張られる。このように堅い接触による利点
は、ペデスタルからの熱がウエハへより均一に移動する
ことである。その結果、ウエハの加熱が均一となり、従
って、ウエハ表面へのタングステンの堆積が向上する。
【0038】次いで、ペデスタル16は、ペデスタル1
6本体内に搭載された電気加熱コイル54による抵抗に
より加熱される。ストーク18の本体内部に配置され
る、堅いステンレス製の接続ステム56によって、コイ
ル54に電力が供給される。図にはコイルが1つしか示
されないが、2つ以上のコイルを用いてもよく、又は、
共に入れ子となるが電力は別々に供給される複数加熱要
素を用いてもよい。
【0039】前述のように、シャドーリング24によっ
て、ウエハのエッジにある堆積が生じない排除領域が提
供される。典型的なCVD処理操作では、ステップ構造
体は高さ約3.81mm(0.15インチ)、シャドー
リングの厚さは約5.08mm(0.2インチ)、突出
し部の厚さは約0.89mm(0.035インチ)であ
る。この突出し部が、通常、ウエハ20のエッジの周囲
約3〜5mm(0.12〜0.20インチ)の排除領域
を画成する。
【0040】コーナーリング60の詳細は、図4及び図
6に例示される。これらの図は、図2及び図3と関連さ
せて見ること。これらの図の全てに示されるように、コ
ーナーリング60は内部に複数の同心円状の溝62を有
する。これらの溝は、典型的には、等間隔に配置され、
深さは2.54mm(0.10インチ)、幅2.54m
m(0.10インチ)である。シャドーリング24がコ
ーナーリング60の上面とくっつかないように溝62が
具備される。シャドーリングがペデスタルにくつかない
事は大変重要であり、その理由は、この2つの部品の熱
膨張係数は異なり、従って、両方とも相手に対して可動
たる必要があるからである。
【0041】コーナーリング60はまた、放射状に伸び
るチャンネル64を12本有する。これらのチャンネル
は、典型的には、幅約3mm(0.117インチ)であ
り、図6に図示されるように、約5゜のテーパー角で、
約1.52mm(0.06インチ)の深さから、ペデス
タルの外側エッジに向かって下がり伸びる。チャンネル
64は、ペデスタル16の外側エッジで、図1に示され
るチャンバ14の下部分14bの中に通じ、ペデスタル
の内部の方に通じ、コーナーリング60の内部エッジ6
7に沿って形成された鍵型構造体66内部に通じる。こ
の鍵型構造体は、溝62の深さとほぼ同じ深さを有し、
幅は約0.25mm(0.01インチ)である。
【0042】鍵型構造体66の床面には、小さな、代表
的には直径0.76mm(0.03インチ)の、ガスポ
ート68が複数形成されている。特に図3から明らかな
ように、ガスポート68は上方に向かって、上円周キャ
ビティー70に通じる。この上円周キャビティー70
は、ウエハ20の外周エッジから少しだけ伸び、シャド
ーリング24と主ペデスタル本体61とコーナーリング
60とにより画成されている。ポート68は、コーナー
リング60と主ペデスタル本体61とにより画成される
下円周キャビティー72に通じている。ペデスタル16
のストーク18の中心に伸びる導管50を介して、下キ
ャビティー72にパージガスが供給され、従って上キャ
ビティー70にもパージガスが供給される。この導管5
0から、8本の別の導管(図示されず)が放射状に、導
管50の頂点から略水平の方向にキャビティー72にわ
たって伸びる。また中央導管74がこの図に示される
が、処理中のペデスタル温度を測定する熱電対(図示さ
れず)がこの中央導管74に収容されている。
【0043】第2の堆積のステップでは、不活性なパー
ジガス、代表的にはアルゴンガスが毎分約2リットルの
流量でソース(図示されず)から圧力約207kPa
(30p.s.i.)で供給され、流れ制御装置(マス
フローコントローラー等)を流れる。この制御装置によ
り、パージガスの圧力が約25%〜33%降下する。ガ
スがキャビティーー72及び70にまで移動すれば、更
に圧力が降下する。しかしなお、パージガスの圧力は上
キャビティー70内では充分高いので、このキャビティ
ー内へ移動するプロセスガスを実質的に減少させるに足
る。このキャビティー70からパージガスは流出し、放
射状に伸びるチャンネル64を通ってチャンバ14の下
部分14bへと致る。パージガスが流出した後は、チャ
ンバ14の下部分14b内に入り、チャンバ内の標準的
な排気口から排気される。パージガスを供給しようとす
る場合は、第1のステップにおいて毎分約250ミリリ
ットルの流量で供給する。
【0044】このパージガスを供給することにより、ウ
エハ20のエッジ及び下面の外側部分へのタングステン
の堆積が減少ないし除去されるという利点がある。この
結果は、2つの因子によると考えられる。第1に、パー
ジガスは上キャビティー70ではチャンバの雰囲気圧力
よりも高い圧力にあることである。その結果、ガス化し
た弗化タングステンはシャドーリング24のリップ54
の下面にしみ入ることが防止される。第2に、このしみ
入りが生じなかった場合でも、パージガスを流すことに
より、チャンバ70内から弗化タングステンガスが除去
される。なぜなら、制限リング60内に形成された放射
状のチャンネル64を、パージガスは連続して高いマス
フローレートで流出するからである。
【0045】前述で指摘したように、ペデスタルからシ
ャドーリングへ出来るだけ多くの熱量が移動するよう
に、シャドーリングとステップ構造体との間のギャップ
は出来るだけ小さく保つ必要がある。しかし、この小さ
なギャップはチャンバ70内に充分なパージガスを流す
ことが出来る程度の充分な大きさをもっていない。ま
た、チャンネル64が存在しなければ、パージガスがウ
エハ表面からシャドーリング24を持ち上げてしまうこ
とも確認されている。このことは、シャドーリングのマ
スキング効果に対して有害であり、ウエハのエッジの周
囲の排除部分を不均一ないし不定なものにしてしまう。
しかし、チャンネル64が存在して、パージGスがチャ
ンネルに沿って流れれば、このような堆積が起こらな
い。従って、これらのチャンネルは、チャンバ内の圧力
をシャドーリングが浮上しないような低いレベルに維持
する機能と、チャンバからパージガスを自由に流出させ
てシャドーリングの下にしみ入る堆積ガスを除去する機
能との、2つの機能を有する。
【0046】以上のように、特定の具体例(タングステ
ンCVDプロセスに用いる装置)に関して本発明を説明
してきたが、この分野で通常の知識を有する者であれ
ば、これを変形することが可能であろう。例えば、放射
状に伸びるチャンネル64は、適当なタイプの導管であ
ってもよい。この場合、パージハスの流れを充分にし、
且つ、シャドーリング24とペデスタル16との間に緊
密な熱的接触を実現するように、この導管のサイズと位
置が決められるべきである。例えば、チャンネル64
は、主ペデスタル、コーナーリング、又はシャドーリン
グへ通じる導管に置き換えてもよく、あるいは、シャド
ーリング24の底面の放射状のグルーヴで置き換えても
よい。また、ステップ構造体26、同心円状溝62及び
チャンネル64は、一体のペデスタル本体であってもよ
く、コーナーリング60は別々でなくてもよい。更に、
ここでの特定の記載は、直径150mm及び200mm
(6インチ及び8インチ)ウエハの処理のためのもので
ある。違うサイズのウエハの処理のためには、適当な変
形を行えばよい。更に、ペデスタルの厳密な形状は重要
ではなく、ドーム状でもよく投影像が円形でなくてもよ
い。同様に、ウエハは半導体ウエハでなくてもよいし、
円形でなくてもよい。別の物質の基板でもよいし、並び
に/又は、円形でなくてもよい。
【0047】
【発明の効果】以上詳細に説明したように、本発明の装
置及び方法によれば、基板がより均一に加熱され、しか
も、基板のエッジ及び裏面に堆積する物質が減少する。
【図面の簡単な説明】
【図1】半導体処理装置の部分的な断面図である。
【図2】ヒーターペデスタルの平面図である。
【図3】図2に示される線3−3の断面図である。
【図4】ペデスタル制限リングの詳細な断面図である。
【図5】図4の線4−4の断面図である。
【図6】制限リングのエッジの部分的な断面図である。
【符号の説明】
10…CVD処理装置、12…外部本体、14…処理チ
ャンバ、14a…チャンバの上側部分、14b…チャン
バの下側部分、16…ヒーターペデスタル、18…スト
ーク、20…半導体ウエハ、22…支持面、24…シャ
ドーリング、25…外側支持リング、26…ステップ構
造体、27…ポンピングプレート、28…ウエハリフト
フィンガー、30…アパーチャー、32…ポート、34
…リフティング機構、36…シャワーヘッド、40…同
心円状溝、42…チャンネル、44…真空ポート、46
…円形マニホールドグルーヴ、48…プラグ、50…真
空導管、52…リップ、54…加熱コイル、56…ステ
ム、60…制限コーナーリング、61…主ペデスタル本
体、62…同心円状溝、64…チャンネル、66…鍵型
構造体、68…ガスポート、70…上円周キャビティ
ー、72…下円周キャビティー、74…中央導管。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シシィ エス. リューン アメリカ合衆国, カリフォルニア州 95439, フレモント, パゴサ ウェイ 348 (72)発明者 エリック エー. エングルハード アメリカ合衆国, カリフォルニア州 94301, パロ アルト, ホーマー ア ヴェニュー 435 (72)発明者 アショク ケイ. シンハ アメリカ合衆国, カリフォルニア州 94306, パロ アルト, ハバート ド ライヴ 4176

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 外周エッジと処理のための上面と下面と
    を有する基板を処理するための基板処理装置であって、
    (a)操作中に処理ガスを受容するチャンバを画成する
    ハウジングと、(b)該チャンバ内にあり該基板の該下
    面を受容する基板受容面を有する基板支持体と、(c)
    該基板支持体を制限して、該基板支持体との間にキャビ
    ティーを画成し、該基板の該外周エッジに隣接する基板
    支持体制限リングと、(d)該基板の該外周エッジでパ
    ージガスを該チャンバ内に導入するためのパージガス供
    給手段と、(e)パージガスの流れを該基板の該外周エ
    ッジから離れさせることを促進することにより、パージ
    ガスの導入と流れとが、該基板の該外周エッジ及び該下
    面への該チャンバ内処理ガスの接触を減少させる、少な
    くとも1つの流体通路とを備える基板処理装置。
  2. 【請求項2】 該流体通路が少なくとも1つの流体導管
    で画成される請求項1に記載の基板処理装置。
  3. 【請求項3】 該制限リングが、該基板支持体とそれぞ
    れ隣接面で隣接し、該流体導管が該リング及び該支持体
    の該隣接面で画成される請求項2に記載の基板処理装
    置。
  4. 【請求項4】 該基板支持体が、該基板受容面に少なく
    とも1つのガスポート開口を有し、該装置が更に、第1
    の圧力よりも低い第3の圧力までガスポートでの圧力を
    減少させるための吸引手段を有し、第1の圧力と第3の
    圧力との圧力差により該受容面に受容された基板が該受
    容面に抑えつけられる請求項3に記載の基板処理装置。
  5. 【請求項5】 該基板支持体が該支持体を加熱するため
    のヒーターを有し、該支持体に支持された基板が熱伝導
    により加熱される請求項4に記載の基板処理装置。
  6. 【請求項6】 該制限リングが基板突出し部を有し、該
    基板突出し部はウエハが該基板支持体に支持された際に
    ウエハの該上面の外周エッジ部を覆うような寸法である
    請求項3に記載の基板処理装置。
  7. 【請求項7】 該支持体と該制限リングの該隣接面の少
    なくとも1つが平坦でない請求項6に記載の基板処理装
    置。
  8. 【請求項8】 該平坦でない面に形成された複数の同心
    円状の溝が該平坦でない面を画成する請求項7に記載の
    半導体処理装置。
  9. 【請求項9】 処理反応器であって、基板を処理するた
    めその上側の部分で処理ガスを受容する処理チャンバ
    と、 前記上側部分内で前記基板を支持するペデスタルと、 前記基板の上側外周に係合し、前記外周の近傍で前記ペ
    デスタルとの間にキャビティーを形成するシャドーリン
    グと、 前記キャビティーにパージガスを供給するガスライン
    と、 前記キャビティーから前記チャンバの下側部分まで伸び
    る複数の流体通路とを備える処理反応器。
  10. 【請求項10】 前記シャドーリングが前記外周の外側
    の前記ペデスタルの部分から所定のギャップだけ距離を
    もち、前記複数の流体通路が前記所定のギャップと同じ
    ではない請求項9に記載の処理反応器。
  11. 【請求項11】 前記ペデスタルが、前記基板を加熱す
    るための抵抗ヒーターを有する請求項10に記載の処理
    反応器。
  12. 【請求項12】 前記流体通路が、前記シャドーリング
    と前記ギャップに面する前記ペデスタルとの少なくとも
    1つに形成される、放射状に伸びるグルーヴないし溝を
    備える請求項9に記載の処理反応器。
  13. 【請求項13】 前記グルーヴないし溝が、前記ペデス
    タルに形成される請求項12に記載の処理反応器。
  14. 【請求項14】 前記流体通路が、前記ペデスタルに形
    成された閉導管である請求項9に記載の処理反応器。
  15. 【請求項15】 前記ペデスタルが、前記ギャップに面
    する表面に形成された複数の円周グルーヴないし円周溝
    を有する請求項9に記載の処理反応器。
  16. 【請求項16】 処理反応器であって。基板を処理する
    ためその上側の部分で処理ガスを受容する処理チャンバ
    と、 前記上側部分内で前記基板を支持するペデスタルと、 前記基板の上側外周に係合するリップ部と、前記リップ
    部から外側へ伸び且つ前記ペデスタルと面する下面を有
    する本体部とを有するリング体とを有し、前記リング体
    の前記本体の前記下面と面する前記ペデスタルの対面部
    が、複数の円周グルーヴないし溝によって形成される処
    理反応器。
  17. 【請求項17】 前記対面部分が前記グルーヴないし溝
    の間の高くなった円周部分を有する請求項16に記載の
    処理反応器。
  18. 【請求項18】 前記リング体の前記リップ部が前記基
    板の前記上側外周に係合したときに、前記高くなった円
    周部分が前記リング体の前記本体の前記下面と所定のギ
    ャップをもって分離し、前記対面部が更に、前記基板の
    外周部の周囲で前記リング体と前記ペデスタルとの間に
    形成されたキャビティーから前記処理チャンバの下側部
    分まで伸びる複数の放射状のグルーヴないし溝を有する
    請求項17に記載の処理反応器。
  19. 【請求項19】 前記ペデスタルが、前記基板を加熱す
    るためのヒーターを有する請求項18に記載の処理反応
    器。
  20. 【請求項20】 化学気相堆積の方法であって、 チャンバ内のペデスタル上に基板を支持するステップ
    と、 リング体であって、前記ペデスタルと前記リング体との
    間の基板外周近傍にキャビティーが形成され且つ円周状
    の所定のギャップが前記キャビティーから放射状外側に
    向かって伸びるように前記リング体と前記ペデスタルと
    の間に形成されるように配置された、前記基板の前記外
    周から外側に向かって伸びる前記リング体によって、前
    記基板の上側周囲部を覆うステップと、 前記基板が加熱されて熱が前記ギャップを越えて前記リ
    ング体へ致るように、前記ペデスタルを電気で加熱する
    ステップと、 前記チャンバ内の前記基板の上の部分に堆積ガスを流す
    ステップと、 前記キャビティーに不活性なガスを流し、前記不活性な
    ガスが前記キャビティーから外側へ前記所定のギャップ
    を通って流れるステップと、 前記所定のギャップではない通路を介して前記不活性な
    ガスを前記キャビティーに更に流すステップとを備える
    化学気相堆積の方法。
JP15329395A 1994-06-20 1995-06-20 基板裏面への堆積を減少させる処理装置及び処理方法 Expired - Lifetime JP3963966B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/263,617 US5476548A (en) 1994-06-20 1994-06-20 Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US08/263617 1994-06-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006309527A Division JP4563984B2 (ja) 1994-06-20 2006-11-15 基板裏面への堆積を減少させる処理装置及び処理方法

Publications (2)

Publication Number Publication Date
JPH0881775A true JPH0881775A (ja) 1996-03-26
JP3963966B2 JP3963966B2 (ja) 2007-08-22

Family

ID=23002524

Family Applications (2)

Application Number Title Priority Date Filing Date
JP15329395A Expired - Lifetime JP3963966B2 (ja) 1994-06-20 1995-06-20 基板裏面への堆積を減少させる処理装置及び処理方法
JP2006309527A Expired - Lifetime JP4563984B2 (ja) 1994-06-20 2006-11-15 基板裏面への堆積を減少させる処理装置及び処理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006309527A Expired - Lifetime JP4563984B2 (ja) 1994-06-20 2006-11-15 基板裏面への堆積を減少させる処理装置及び処理方法

Country Status (5)

Country Link
US (1) US5476548A (ja)
EP (1) EP0688888B1 (ja)
JP (2) JP3963966B2 (ja)
KR (1) KR960002527A (ja)
DE (1) DE69528217T2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100696029B1 (ko) * 1999-02-09 2007-03-15 어플라이드 머티어리얼스, 인코포레이티드 퍼지 링을 가지는 웨이퍼 받침대
JP2014098202A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 成膜装置
KR20170137800A (ko) * 2015-04-17 2017-12-13 어플라이드 머티어리얼스, 인코포레이티드 베벨 폴리머 감소를 위한 에지 링

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5590239A (en) * 1994-06-06 1996-12-31 Motorola Planar uniform heating surface with additional circumscribing ring
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH08302474A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置の加熱装置
US5908530A (en) * 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US5866067A (en) * 1997-03-24 1999-02-02 Sony Corporation And Materials Research Corporation High purity chromium metal by casting with controlled oxygen content
US6110025A (en) * 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
FR2763964B1 (fr) * 1997-05-28 1999-08-13 Sgs Thomson Microelectronics Amelioration du flux gazeux dans un reacteur d'epitaxie
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6063440A (en) * 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US5955381A (en) * 1998-03-03 1999-09-21 Lucent Technologies Inc. Integrated circuit fabrication
US6323129B1 (en) * 1999-04-02 2001-11-27 National Semiconductor Corporation Process for maintaining a semiconductor substrate layer deposition equipment chamber in a preconditioned and low particulate state
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6176931B1 (en) 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6488565B1 (en) 2000-08-29 2002-12-03 Applied Materials, Inc. Apparatus for chemical mechanical planarization having nested load cups
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
US20040244949A1 (en) * 2003-05-30 2004-12-09 Tokyo Electron Limited Temperature controlled shield ring
JP5189294B2 (ja) * 2004-02-13 2013-04-24 エーエスエム アメリカ インコーポレイテッド オートドーピングおよび裏面堆積を減少させるための基板支持システム
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US9917001B2 (en) * 2008-01-21 2018-03-13 Applied Materials, Inc. High temperature fine grain aluminum heater
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8753447B2 (en) * 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
WO2011082020A2 (en) * 2009-12-31 2011-07-07 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
WO2011094230A2 (en) 2010-01-27 2011-08-04 Applied Materials, Inc. Life enhancement of ring assembly in semiconductor manufacturing chambers
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
JP6024921B2 (ja) * 2013-11-01 2016-11-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
ITCO20130058A1 (it) * 2013-11-11 2015-05-12 Lpe Spa Suscettore con lavorazioni di forma arcuata nella superficie d'appoggio dei substrati
ITCO20130072A1 (it) * 2013-12-19 2015-06-20 Lpe Spa Suscettore con lavorazioni curve e concentriche nella superficie d'appoggio dei substrati
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11330673B2 (en) 2017-11-20 2022-05-10 Applied Materials, Inc. Heated substrate support
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JPH04226027A (ja) * 1990-04-23 1992-08-14 Genus Inc ガス阻止装置を有するウエファ周辺封止装置
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
WO1993013241A1 (en) * 1991-12-23 1993-07-08 Genus, Inc. Purge gas in wafer coating area selection
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
EP0634786B1 (en) * 1993-07-15 1997-03-05 Applied Materials, Inc. Improved susceptor
WO1995023428A2 (en) * 1994-02-23 1995-08-31 Applied Materials, Inc. Chemical vapor deposition chamber

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100696029B1 (ko) * 1999-02-09 2007-03-15 어플라이드 머티어리얼스, 인코포레이티드 퍼지 링을 가지는 웨이퍼 받침대
JP2014098202A (ja) * 2012-11-15 2014-05-29 Tokyo Electron Ltd 成膜装置
KR20170137800A (ko) * 2015-04-17 2017-12-13 어플라이드 머티어리얼스, 인코포레이티드 베벨 폴리머 감소를 위한 에지 링
JP2018516449A (ja) * 2015-04-17 2018-06-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 面取り部のポリマー低減のためのエッジリング
US10903055B2 (en) 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction

Also Published As

Publication number Publication date
JP2007113119A (ja) 2007-05-10
DE69528217T2 (de) 2003-04-30
US5476548A (en) 1995-12-19
KR960002527A (ko) 1996-01-26
JP3963966B2 (ja) 2007-08-22
EP0688888B1 (en) 2002-09-18
DE69528217D1 (de) 2002-10-24
JP4563984B2 (ja) 2010-10-20
EP0688888A2 (en) 1995-12-27
EP0688888A3 (en) 1998-02-04

Similar Documents

Publication Publication Date Title
JPH0881775A (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
JP5578478B2 (ja) 基板プロセス装置でのエッジ堆積を制御する移動可能リング
US5888304A (en) Heater with shadow ring and purge above wafer surface
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
US6231674B1 (en) Wafer edge deposition elimination
US5882419A (en) Chemical vapor deposition chamber
US7718930B2 (en) Loading table and heat treating apparatus having the loading table
US5855687A (en) Substrate support shield in wafer processing reactors
US5328722A (en) Metal chemical vapor deposition process using a shadow ring
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
KR20010034921A (ko) 정화 가스 채널과 펌핑 시스템을 갖는 기판 지지 부재
JPH06342760A (ja) 差圧cvdチャック
JP4108119B2 (ja) 改良型化学気相堆積チャンバ
JP5179482B2 (ja) パージガスアセンブリ
JP2023501304A (ja) 基板のエッジ膜厚均一性を向上させる処理キット
JP3131860B2 (ja) 成膜処理装置
JP2560986B2 (ja) タングステンcvd装置
JP3131855B2 (ja) 成膜処理方法及びその装置
JPH10214798A (ja) ウエハエッジ堆積の排除
JP4286981B2 (ja) 枚葉式熱処理装置
JP3738494B2 (ja) 枚葉式の熱処理装置
JP4149694B2 (ja) 半導体製造装置及び半導体製造方法
JP2002025914A (ja) 基板処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060511

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060718

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061115

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20061218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070523

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100601

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110601

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120601

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120601

Year of fee payment: 5

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120601

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130601

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term