JPH0864545A - 半導体装置の作製装置および半導体装置の作製方法 - Google Patents

半導体装置の作製装置および半導体装置の作製方法

Info

Publication number
JPH0864545A
JPH0864545A JP6225598A JP22559894A JPH0864545A JP H0864545 A JPH0864545 A JP H0864545A JP 6225598 A JP6225598 A JP 6225598A JP 22559894 A JP22559894 A JP 22559894A JP H0864545 A JPH0864545 A JP H0864545A
Authority
JP
Japan
Prior art keywords
film
substrate
amorphous silicon
silicon film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6225598A
Other languages
English (en)
Other versions
JP3464285B2 (ja
Inventor
Shunpei Yamazaki
舜平 山崎
Kouyuu Chiyou
宏勇 張
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP22559894A priority Critical patent/JP3464285B2/ja
Priority to US08/518,364 priority patent/US5693541A/en
Publication of JPH0864545A publication Critical patent/JPH0864545A/ja
Application granted granted Critical
Publication of JP3464285B2 publication Critical patent/JP3464285B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/016Catalyst

Abstract

(57)【要約】 【目的】 できる限り低温で結晶性を有する珪素薄膜を
得る。 【構成】 ガラス基板11上に非晶質珪素膜12をCV
D法で成膜し、窒化珪素膜のマスク21を形成する。そ
して14で示されるようなニッケルを含有した溶液をス
ピンコートすることにより、選択的にニッケルを非晶質
珪素膜に導入する。そして加熱処理を行うことによっ
て、非晶質珪素膜12を結晶化させる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は結晶性を有する半導体を
用いた半導体装置およびその作製方法に関する。
【0002】
【従来の技術】薄膜半導体を用いた薄膜トランジスタ
(以下TFT等)が知られている。このTFTは、基板
上に薄膜半導体を形成し、この薄膜半導体を用いて構成
されるものである。このTFTは、各種集積回路に利用
されているが、特に電気光学装置特にアクティブマトリ
ックス型の液晶表示装置の各画素の設けられたスイッチ
ング素子、周辺回路部分に形成されるドライバー素子と
して注目されている。
【0003】TFTに利用される薄膜半導体としては、
非晶質珪素膜を用いることが簡便であるが、その電気的
特性が低いという問題がある。TFTの特性向上を得る
ためには、結晶性を有するシリコン薄膜を利用するばよ
い。結晶性を有するシリコン膜は、多結晶シリコン、ポ
リシリコン、微結晶シリコン等と称されている。この結
晶性を有するシリコン膜を得るためには、まず非晶質珪
素膜を形成し、しかる後に加熱によって結晶化さればよ
い。
【0004】しかしながら、加熱による結晶化は、加熱
温度が600℃以上の温度で10時間以上の時間を掛け
ることが必要であり、基板としてガラス基板を用いるこ
とが困難であるという問題がある。例えばアクティブ型
の液晶表示装置に用いられるコーニング7059ガラス
はガラス歪点が593℃であり、基板の大面積化を考慮
した場合、600℃以上の加熱には問題がある。
【0005】〔発明の背景〕これら上記の問題点を解決
する手段として本発明人らによる発明、特願平05−2
94633が挙げられる。これは、溶液を用いて非晶質
珪素膜に触媒元素、特にニッケルを添加し、低温且つ短
時間の熱処理により結晶性珪素膜を得る方法であった。
【0006】
【発明が解決しようとする課題】本発明は、触媒元素を
用いた600℃以下の熱処理による結晶性を有する薄膜
珪素半導体の作製において、前記発明特願平05−29
4633を前提とした上で、更に制御性が高く、マ─ジ
ンの大きい生産性の高い方法を提供することを目的とす
る。即ち、より安定した再現性の高いプロセスで結晶性
珪素膜を得る方法を提供することを目的とする。
【0007】
【課題を解決するための手段】前記発明特願平05−2
94633において、素子形成領域として触媒元素が直
接添加された領域における基板に概略垂直な方向に結晶
成長した領域(以下縦成長領域と省略)を用いる場合
と、触媒元素を選択的に添加して該添加部周辺に基板に
概略水平方向に成長させた領域(以下横成長領域と省
略)を用いる場合の2つの結晶化方法を提案した。
【0008】そしてこの2つの結晶化方法について詳細
に検討した結果、素子形成領域を作成するためには、横
成長を用いた方が特性上望ましいという結論に達した。
そして前記横成長による結晶化方法について更なる検討
を行った。
【0009】前記発明特願平05−294633におけ
る横成長方法を簡単に要約すると以下の様なプロセスと
なる。(図1参照) まずコ─ニング7059基板の如きガラス基板上に、酸
化珪素よりなる下地膜を成膜する。これら基体11上に
非晶質珪素膜12を100Å〜5000Å、望ましくは
500Å〜800Åの厚さにプラズマCVDあるいは減
圧熱CVDにより成膜する。更にその上に代表的には酸
化珪素膜からなるマスク材料21を成膜し、そこにニッ
ケルを添加するための開孔部を開け、下の非晶質珪素膜
を露出させる。その後必要に応じて前記開孔部に露出し
た非晶質珪素膜の表面を薄く酸化し(図1中では20で
表示)、ニッケルを含有した溶液14を用いてニッケル
を添加する。上記方法によりニッケルを添加した基板
を、N2 等の不活性雰囲気中あるいは酸化雰囲気中で、
450〜600℃、代表的には550℃程度で熱処理す
ることにより、横方向に結晶成長した結晶性珪素膜25
を得る。
【0010】上記一連のプロセスについて、マスク材料
を酸化珪素膜から窒化珪素膜に変更することを試みた。
その結果は、マスク材料が酸化珪素膜の場合、長時間熱
処理を加えるとニッケルが酸化珪素膜を透過して非晶質
珪素膜に到達してしまうことが確認された。これは現象
としては、通過したニッケルによる縦成長が発生してし
まい、横成長を阻害する様子が観察された。それに対
し、窒化珪素膜をマスク材料として用いた場合にはその
様な現象は観測されなかった。しかしながら、横成長量
が酸化珪素マスクに比較して若干少ないことが観測され
た。この横成長量の少なさは、その後の実験の結果、予
め水素出しを行っておくことにより回避できることが判
明した。即ち、結晶化の準備として水素が非晶質珪素膜
中から抜けることが必要であるが、窒化珪素膜をマスク
として用いた場合には、それが抜け難くなってしまうこ
とが原因であることが判明したのである。
【0011】次に下地、非晶質珪素、マスク材料を大気
に一度も曝すことなく連続成膜する場合、あるいはそれ
ぞれ大気に曝して別々に成膜する場合を比較した。する
と、それぞれの膜質は同様になるように設定したにもか
かわらず、連続で成膜した場合の方が横成長距離が長
く、且つ結晶性が高いことが判明した。これは、基板に
概略平行に結晶成長する横成長というプロセスが、界面
の状態に非常に影響されることを意味するものと考えら
れる。
【0012】よって、上記一連の実験から、再現性及び
制御性に優れた横成長を用いた結晶成長方法は、ガラス
基板上に連続して、酸化珪素膜、非晶質珪素膜を成膜す
る工程と、前記成膜された基板を大気に曝すことなく連
続して熱処理して水素出しを行う工程と、前記水素出し
が行われた基板に連続して窒化珪素膜を成膜する工程
と、前記3層膜が成膜された基板に対して、パタ─ニン
グ及び窒化珪素膜のエッチングを施し、前記非晶質珪素
膜を部分的に露出せしめる工程と、ニッケルを含む溶液
を前記基板に塗布して、前記選択的に露出した非晶質珪
素膜にニッケルを添加する工程と、前記ニッケルが塗布
された基板を熱処理を施して結晶化せしめる工程とを有
するものである。そして、そのような構成をとるために
必要な多目的基板処理装置として、酸化珪素膜、非晶質
珪素膜、窒化珪素膜の連続成膜が可能であり、且つ一度
も大気に曝すことなく熱処理(水素出し工程)が可能な
構成を有する装置が必要であることが判る。
【0013】具体的には、複数の減圧可能な処理室を有
し、前記複数の処理室は減圧可能な共通室を介して連結
されており、前記共通室には各処理室間において基板を
搬送するための手段を有し、前期複数の処理室の内の少
なくとも一つはプラズマCVDを用いた酸化珪素膜の成
膜が可能であり、前期複数の処理室の内の少なくとも一
つはプラズマCVDを用いた窒化珪素膜が成膜可能であ
り、前期複数の処理室の内の少なくとも一つはプラズマ
CVDを用いた非晶質珪素膜が成膜可能であり、前期複
数の処理室の内の少なくとも一つは400℃以上の加熱
処理を複数の基板に同時に施すことが可能である、こと
を特徴とする多目的基板処理装置を必要とする。
【0014】そのような装置の概要を図2及び図3に示
す。図2に示す装置は多目的に利用できるものであっ
て、必要とする成膜やアニール処理を施す処理室を必要
とする数で組み合わせることができる。図2に示す装置
で処理される基板としてはガラス基板、シリコン基板、
その他絶縁基板や半導体基板を用いることができる。即
ち、絶縁表面を有する基板であれば用いることができ
る。例えば、アクティブマトリクス型の液晶表示装置や
イメージセンサー等の電気光学装置であれば安価なガラ
ス基板を用いるのが一般的である。
【0015】例えば301を共通室である基板の搬送室
とし、基板の各種処理を行なう処理室の内、306と3
07とを予備室とし、一方を基板の搬入用に用い、他の
一方を基板の搬出用に用いる。また、302は絶縁膜を
形成するためのプラズマCVD装置とし、303を非晶
質珪素を成膜するためのプラズマCVD装置とし、30
4を窒化珪素膜を形成するためのプラズマCVD装置と
し、305を水素出しを行うための熱処理炉とする、と
いった構成を採ることができる。この中で、熱処理工程
のみが処理時間が数時間に及ぶプロセスであり、全体の
スル─プットの低下の原因となってしまうため、複数の
基板322を同時にヒーター310で加熱処理を施し、
必要によりステージ315により基板搬送位置まで運
び、ロボットアーム314により搬送して次の工程に移
る構成としたことは重要である。なお、予備室も基板の
搬入や搬出を行なう機能を有するという意味で処理室と
いうことができる。また各処理室の間は、ゲ─トバルブ
308〜313により仕切られており、それぞれ独立に
真空ポンプ319〜321による真空引きが可能で、各
処理時のガスによるコンタミを防ぐ構成となっている。
基板322はロボットア─ム314により搬送され、マ
ルチタスクによりスル─プットの向上が可能である。
【0016】このような組み合わせは任意に行なえるも
のである。これら組み合わせのできる要素としては、プ
ラズマCVD、減圧熱CVD(以下本明細書においては
LPCVDと省略する)、光CVD、マイクロ波CV
D、加熱炉、光照射によるアニール炉、スパッタリン
グ、プラズマアニール、プラズマエッチング(異方性あ
るいは等方性)を挙げることができが、本発明の構成を
達成するためには前述の様な構成が少なくとも必要であ
る。
【0017】本発明においては、触媒元素としてニッケ
ルを用いた場合に最も顕著な効果を得ることができる
が、その他利用できる触媒元素の種類としては、好まし
くはNi、Pd、Pt、Cu、Ag、Au、In、S
n、Pd、Sn、Pd、P、As、Sbから選ばれた一
種または複数種類の元素を利用することができる。
【0018】以下の本明細書で開示する発明の構成を示
す。本明細書で開示する第1の発明は、絶縁表面を有す
る基板上に酸化珪素膜と非晶質珪素膜とを成膜する工程
と、前記成膜された基板を大気に曝すことなく連続して
熱処理して水素出しを行う工程と、前記水素出しが行わ
れた基板に連続して窒化珪素膜を成膜する工程と、前記
窒化珪素膜をパターニングし選択的に非晶質珪素膜を露
呈させる工程と、前記露呈した非晶質珪素膜に接して非
晶質珪素膜の結晶化を助長する金属元素を導入する工程
と、加熱処理を行い前記非晶質珪素膜を前記金属元素が
導入された領域から基板に平行な方向に結晶成長させる
工程と、を有することを特徴とする。
【0019】他の発明は、絶縁表面を有する基板上に酸
化珪素膜と非晶質珪素膜とを成膜するための第1の処理
室と、前記成膜された基板を大気に曝すことなく連続し
て熱処理して水素出しを行うための第2の処理室と、前
記水素出しが行われた基板に連続して窒化珪素膜を成膜
する第3のための処理室と、前記第1の処理室と前記第
2の処理室と前記第3の処理室とに共通して接続された
共通室と、を有し、前記第1の処理室と前記第2の処理
室と前記第3の処理室とは密閉された構造を有し、前記
共通室は基板または試料を搬送する手段を有することを
特徴とする。
【0020】上記構成の例として、図2や図3に示す構
成を挙げることができる。
【0021】他の発明は、絶縁表面を有する基板上に酸
化珪素膜と非晶質珪素膜とを成膜する工程と、前記成膜
された基板を大気に曝すことなく連続して熱処理して水
素出しを行う工程と、前記水素出しが行われた基板に連
続して窒化珪素膜を成膜する工程と、前記窒化珪素膜を
活性層の形状にパターニングし選択的に非晶質珪素膜を
露呈させる工程と、前記露呈した非晶質珪素膜に接して
非晶質珪素膜の結晶化を助長する金属元素を導入する工
程と、加熱処理を行い前記非晶質珪素膜を前記金属元素
が導入された領域から基板に平行な方向に結晶成長させ
る工程と、残存した前記窒化珪素膜をマスクとして用い
て結晶成長した珪素膜をパターニングし活性層を構成す
る工程と、を有することを特徴とする。
【0022】他の発明は、絶縁表面を有する基板上に形
成された非晶質珪素膜上に活性層を形成するためのマス
クとして窒化珪素膜を形成する工程と、前記窒化珪素膜
をマスクとして非晶質珪素膜の結晶化を助長する金属元
素を導入する工程と、加熱処理を加え前記非晶質珪素膜
を結晶化する工程と、前記窒化珪素膜をマスクとして活
性層を形成する工程と、を有することを特徴とする。
【0023】
【実施例】
〔実施例1〕本実施例は、500Åの窒化珪素膜を選択
的に設け、この窒化珪素膜をマスクとして選択的にニッ
ケルを導入する例である。
【0024】前述の説明で用いた図1を本実施例に焼き
直して本実施例における作製工程の概略を示す。まず、
ガラス基板(コーニング7059、10cm角)上に、
図2及び図3の装置を用いて酸化珪素膜を2000Å及
び、非晶質珪素膜12を100〜1500ÅプラズマC
VD法を用いて連続的に形成する。ここでは、非晶質珪
素膜12を1000Åの厚さに成膜する。酸化珪素膜の
成膜条件は、成膜圧力0.1〜1torr、本実施例で
は0.3torr、TEOS:O2 を1:10の比率と
し、RFパワー1〜500W、本実施例では300W
で、基板温度が100〜500℃、本実施例では400
℃で成膜を行った。非晶質珪素膜の成膜条件としては成
膜圧力0.1〜1torr、本実施例では0.3tor
r、モノシランを成膜ガスとし、RFパワー1〜100
W、本実施例では35Wで、基板温度が100〜300
℃、本実施例では160℃で成膜を行った。(図1
(A)) 次に、大気に曝すことなく熱処理炉305に基板を搬送
して、350〜550℃、ここでは400℃1時間N2
中の熱処理を行ってプラズマCVDで成膜した非晶質珪
素膜12から水素を放出させる。その後再び大気に曝す
ことなく処理室304に基板322を搬送し、マスクと
なる窒化珪素膜21を200Å以上、ここでは500Å
の厚さに成膜する。成膜条件は、成膜圧力0.1〜1t
orr、本実施例では0.3torr、モノシラン:ア
ンモニアを1:4の比率とし、RFパワー100〜50
0W、本実施例では300Wで、基板温度が200〜5
00℃、本実施例では400℃で成膜を行った。この窒
化珪素膜21の膜厚については、発明者等の実験による
と100Åでも問題がないことを確認しており、膜質が
緻密であれば更に薄くても良いと思われる。
【0025】そして通常のフォトリソパターニング工程
によって、必要とするパターンに窒化珪素膜21をパー
ニングする。そして、酸素雰囲気中における紫外線の照
射で薄い酸化珪素膜20を成膜する。この酸化珪素膜2
0の作製は、酸素雰囲気中でUV光を5分間照射するこ
とによって行なわれる。なおこの酸化珪素膜20の厚さ
は20〜50Å程度と考えられる(図1(A))。尚、
この濡れ性を改善するための酸化珪素膜については、溶
液とパターンのサイズが合致した場合には、マスクの酸
化珪素膜の親水性のみによっても丁度よく添加される場
合がある。しかしながらこの様な例は特殊であり、一般
的には酸化珪素膜20を使用したほうが安全である。
【0026】この状態において、100ppmのニッケ
ルを含有した酢酸塩溶液を5ml滴下(10cm角基板
の場合)する。またこの際、スピナーを150rpmで
回転させつつ塗布することにより裏面への回り込みを防
ぐことが可能である。さらにこの状態で、5分間保持し
た後スピナーを用いて2000rpm、60秒のスピン
ドライを行う。(図1(B))
【0027】そして550度(窒素雰囲気)、8時間の
加熱処理を施すことにより、非晶質珪素膜12の結晶化
を行う。この際、ニッケルが導入された部分22の領域
から23で示されるように、ニッケルが導入されなかっ
た領域へと横方向に40μm程度の結晶成長が行われ
る。図1(C)において、24がニッケルが直接導入さ
れ結晶化が行われた領域であり、25が横方向に結晶化
が行われた領域である。なお25の領域は、概略〈11
1〉軸方向に結晶成長が行われていることが確認されて
いる。
【0028】本実施例において、溶液濃度、保持時間を
変化させることにより、ニッケルが直接導入された領域
におけるニッケルの濃度を1×1016atoms cm-3〜1
×1019atoms cm-3の範囲で制御可能であり、同様に
横成長領域の濃度をそれ以下に制御することが可能であ
る。
【0029】その後にデバイスを形成する場合には、マ
スク材料を剥離する必要があるが、この際に以前の酸化
珪素マスクを用いた場合にはフッ酸系のエッチャントを
用いるか、あるいはフッ素系ガスを用いたドライエッチ
ングを用いなければならず、ガラス、下地酸化珪素への
ダメ─ジが大きかった。それに比較し、窒化珪素膜の場
合には熱リン酸を用いることが可能で、これは結晶性珪
素膜及び酸化珪素、ガラスにダメージが少ないという利
点を有する。
【0030】以上述べたように、横方向に結晶が成長し
た領域は触媒元素の濃度が小さく、しかも結晶性が良好
であるので、この領域を半導体装置の活性領域として用
いることは有用である。例えば、薄膜トランジスタのチ
ャネル形成領域として利用することは極めて有用であ
る。
【0031】〔実施例2〕本実施例においては、実施例
1に示すようにニッケルを選択的に導入し、その部分か
ら横方向(基板に平行な方向)に結晶成長した領域を用
いて電子デバイスを形成する例を示す。このような構成
を採用した場合、デバイスの活性層領域におけるニッケ
ル濃度をさらに低くすることができ、デバイスの電気的
安定性や信頼性の上から極めて好ましい構成とすること
ができる。
【0032】本実施例は、アクティブマトリクスの画素
の制御に用いられるTFTの作製工程に関するものであ
る。図4に本実施例の作製工程を示す。まず、基板20
1を洗浄し、図2及び図3にしめされる多目的基板処理
装置によりTEOS(テトラ・エトキシ・シラン)と酸
素を原料ガスとしてプラズマCVD法によって厚さ20
00Åの酸化珪素の下地膜202を形成する。そして、
連続してプラズマCVD法によって、厚さ500〜15
00Å、例えば1000Åの真性(I型)の非晶質珪素
膜203を成膜する。次に熱処理炉305により450
℃1時間の熱処理を行い水素出しを行う。その後同一装
置内で連続的に厚さ500〜2000Å、例えば100
0Åの窒化珪素膜205をプラズマCVD法によって成
膜する。そして、窒化珪素膜205を選択的にエッチン
グして、非晶質珪素の露出した領域206を形成する。
この領域のパタ─ニングは、その後のアイランド形成を
する領域上に窒化珪素膜が残る様に行うと、結晶化工程
の後、前記窒化珪素膜をマスクとして結晶性珪素膜のパ
ターニングが可能であり、工程上有用である。
【0033】そして実施例1に示した方法により結晶化
を助長する触媒元素であるニッケル元素を含んだ溶液
(ここでは酢酸塩溶液)塗布する。酢酸溶液中における
ニッケルの濃度は100ppmである。その他、詳細な
工程順序や条件は実施例1で示したものと同一である。
【0034】この後、窒素雰囲気下で500〜620
℃、例えば550℃、4時間の加熱アニールを行い、珪
素膜303の結晶化を行う。結晶化は、ニッケルと珪素
膜が接触した領域206を出発点として、矢印で示され
るように基板に対して平行な方向に結晶成長が進行す
る。図においては領域204はニッケルが直接導入され
て結晶化した部分、領域203は横方向に結晶化した部
分を示す。この203で示される横方向への結晶は、2
5μm程度である。またその結晶成長方向は概略〈11
1〉軸方向であることが確認されている。(図4
(A))
【0035】次に、窒化珪素膜205をマスクとして、
結晶性珪素膜204をドライエッチによりアイランド形
成を行う。この工程によりニッケル濃度の高い直接添加
領域206をエッチオフすることができ、その結果本実
施例においては、活性層208において、これらのニッ
ケル濃度の高い領域がチャネル形成領域と重ならないよ
うにした。その後熱リン酸を用いて窒化珪素膜205を
エッチングし、島状の活性層領域208を形成する。
【0036】その後、100体積%の水蒸気を含む10
気圧、500〜600℃の、代表的には550℃の雰囲
気中において、1時間放置することによって、活性層
(珪素膜)208の表面を酸化させ、酸化珪素膜209
を形成する。酸化珪素膜の厚さは1000Åとする。熱
酸化によって酸化珪素膜209を形成したのち、基板
を、アンモニア雰囲気(1気圧、100%)、400℃
に保持させる。そして、この状態で基板に対して、波長
0.6〜4μm、例えば、0.8〜1.4μmにピーク
をもつ赤外光を30〜180秒照射し、酸化珪素膜20
9に対して窒化処理を施す。なおこの際、雰囲気に0.
1〜10%のHClを混入してもよい。
【0037】赤外線の光源としてはハロゲンランプを用
いる。赤外光の強度は、モニターの単結晶シリコンウェ
ハー上の温度が900〜1200℃の間にあるように調
整する。具体的には、シリコンウェハーに埋め込んだ熱
電対の温度をモニターして、これを赤外線の光源にフィ
ードバックさせる。本実施例では、昇温は、一定で速度
は50〜200℃/秒、降温は自然冷却で20〜100
℃とする。この赤外光照射は、珪素膜を選択的に加熱す
ることになるので、ガラス基板への加熱を最小限に抑え
ることができる。(図4(B))
【0038】引き続いて、スパッタリング法によって、
厚さ3000〜8000Å、例えば6000Åのアルミ
ニウム(0.01〜0.2%のスカンジウムを含む)を
成膜する。そして、アルミニウム膜をパターニングし
て、ゲイト電極210を形成する。(図2(C))
【0039】さらに、このアルミニウムの電極の表面を
陽極酸化して、表面に酸化物層211を形成する。この
陽極酸化は、酒石酸が1〜5%含まれたエチレングリコ
ール溶液中で行う。得られる酸化物層211の厚さは2
000Åである。なお、この酸化物211は、後のイオ
ンドーピング工程において、オフセットゲイト領域を形
成する厚さとなるので、オフセットゲイト領域の長さを
上記陽極酸化工程で決めることができる。(図4
(D))
【0040】次に、イオンドーピング法(プラズマドー
ピング法とも言う)によって、活性層領域(ソース/ド
レイン、チャネルを構成する)にゲイト電極部、すなわ
ちゲイト電極210とその周囲の酸化層211をマスク
として、自己整合的にN導電型を付与する不純物(ここ
では燐)を添加する。ドーピングガスとして、フォスフ
ィン(PH3 )を用い、加速電圧を60〜90kV、例
えば80kVとする。ドーズ量は1×1015〜8×10
15cm-2、例えば、4×1015cm-2とする。この結
果、N型の不純物領域212と213を形成することが
できる。図からも明らかなように不純物領域とゲイト電
極とは距離xだけ放れたオフセット状態となる。このよ
うなオフセット状態は、特にゲイト電極に逆電圧(Nチ
ャネルTFTの場合はマイナス)を印加した際のリーク
電流(オフ電流ともいう)を低減する上で有効である。
特に、本実施例のようにアクティブマトリクスの画素を
制御するTFTにおいては良好な画像を得るために画素
電極に蓄積された電荷が逃げないようにリーク電流が低
いことが望まれるので、オフセットを設けることは有効
である。
【0041】その後、レーザー光の照射によってアニー
ルを行った。レーザー光としては、KrFエキシマレー
ザー(波長248nm、パルス幅20nsec)を用い
るが、他のレーザーであってもよい。レーザー光の照射
条件は、エネルギー密度が200〜400mJ/cm
2 、例えば250mJ/cm2 とし、一か所につき2〜
10ショット、例えば2ショット照射した。このレーザ
ー光の照射時に基板を200〜450℃程度に加熱する
ことによって、効果を増大せしめてもよい。(図4
(E))
【0042】続いて、厚さ6000Åの酸化珪素膜21
4を層間絶縁物としてプラズマCVD法によって形成す
る。さらに、スピンコーティング法によって透明なポリ
イミド膜215を形成し、表面を平坦化する。このよう
にして形成された平面上にスパッタ法によって厚さ80
0Åの透明導電性膜(ITO膜)を成膜し、これをパタ
ーニングして画素電極216を形成する。
【0043】そして、層間絶縁物214、215にコン
タクトホールを形成して、金属材料、例えば、窒化チタ
ンとアルミニウムの多層膜によってTFTの電極・配線
217、218を形成する。最後に、1気圧の水素雰囲
気で350℃、30分のアニールを行い、TFTを有す
るアクティブマトリクスの画素回路を完成する。(図4
(F))
【0044】〔実施例3〕図5に本実施例の作製工程の
断面図を示す。まず、基板(コーニング7059)50
1上にスパッタリング法によって厚さ2000Åの酸化
珪素の下地膜102を形成した。基板は、下地膜の成膜
の前もしくは後に、歪み温度よりも高い温度でアニール
をおこなった後、0.1〜1.0℃/分で歪み温度以下
まで徐冷すると、その後の温度上昇を伴う工程(本発明
の熱酸化工程およびその後の熱アニール工程を含む)で
の基板の収縮が少なく、マスク合わせが用意となる。コ
ーニング7059基板では、620〜660℃で1〜4
時間アニールした後、0.03〜1.0℃/分、好まし
くは、0.1〜0.3℃/分で徐冷し、400〜500
℃まで温度が低下した段階で取り出すとよい。
【0045】次に、プラズマCVD法によって、実施例
2と同様に酸化珪素膜、非晶質珪素膜、窒化珪素膜を連
続して成膜した。そして、実施例2で示した方法により
非晶質珪素膜の結晶化を行なった。そして窒素雰囲気
(大気圧)、600℃、48時間アニールして結晶化さ
せ、珪素膜を10〜1000μm角の大きさにパターニ
ングして、島状の珪素膜(TFTの活性層)503を形
成した。(図5(A))
【0046】その後、70〜90%の水蒸気を含む1気
圧、500〜750℃、代表的には600℃の酸素雰囲
気を水素/酸素=1.5〜1.9の比率でパイロジェニ
ック反応法を用いて形成した。かかる雰囲気中におい
て、3〜5時間放置することによって、珪素膜表面を酸
化させ、厚さ500〜1500Å、例えば1000Åの
酸化珪素膜504を形成した。注目すべき歯、かかる酸
化により、初期の珪素膜は、その表面が50Å以上減少
し、結果として、珪素膜の最表面部分の汚染が、珪素−
酸化珪素界面には及ばないようになった、すなわち、清
浄な珪素−酸化珪素界面が得られたことである。酸化珪
素膜の厚さは酸化される珪素膜の2倍であるので、10
00Åの厚さの珪素膜を酸化して、厚さ1000Åの酸
化珪素膜を得た場合には、残った珪素膜の厚さは500
Åということになる。
【0047】一般に酸化珪素膜(ゲイト絶縁膜)と活性
層は薄ければ薄いほど移動度の向上、オフ電流の減少と
いう良好な特性が得られる。一方、初期の非晶質珪素膜
の結晶化はその膜厚が大きいほど結晶化させやすい。し
たがって、従来は、活性層の厚さに関して、特性とプロ
セスの面で矛盾が存在していた。本発明はこの矛盾を初
めて解決したものであり、すなわち、結晶化前には非晶
質珪素膜を厚く形成し、良好な結晶性珪素膜を得る。そ
して、次にはこの珪素膜を酸化することによって珪素膜
を薄くし、TFTとしての特性を向上させるものであ
る。さらに、この熱酸化においては、再結合中心の存在
しやすい非晶質成分、結晶粒界が酸化されやすく、結果
的に活性層中の再結合中心を減少させるという特徴も有
する。このため製品の歩留りが高まる。
【0048】熱酸化によって酸化珪素膜504を形成し
たのち、基板を一酸化二窒素雰囲気(1気圧、100
%)、600℃で2時間アニールした。(図5(B)) 引き続いて、減圧CVD法によって、厚さ3000〜8
000Å、例えば6000Åの多結晶珪素(0.01〜
0.2%の燐を含む)を成膜した。そして、珪素膜をパ
ターニングして、ゲイト電極505を形成した。さら
に、この珪素膜をマスクとして自己整合的に、イオンド
ーピング法(プラズマドーピング法とも言う)によっ
て、活性層領域(ソース/ドレイン、チャネルを構成す
る)にN導電型を付与する不純物(ここでは燐)を添加
した。ドーピングガスとして、フォスフィン(PH3
を用い、加速電圧を60〜90kV、例えば80kVと
した。ドーズ量は1×1015〜8×1015cm-2、例え
ば、5×1015cm-2とした。この結果、N型の不純物
領域506と507が形成された。
【0049】その後、レーザー光の照射によってアニー
ル行った。レーザー光としては、KrFエキシマレーザ
ー(波長248nm、パルス幅20nsec)を用いた
が、他のレーザーであってもよい。レーザー光の照射条
件は、エネルギー密度が200〜400mJ/cm2
例えば250mJ/cm2 とし、一か所につき2〜10
ショット、例えば2ショット照射した。このレーザー光
の照射時に基板を200〜450℃程度に加熱すること
によって、効果を増大せしめてもよい。(図5(C))
【0050】また、この工程は、近赤外光によるランプ
アニールによる方法でもよい。近赤外線は非晶質珪素よ
りも結晶化した珪素へは吸収されやすく、1000℃以
上の熱アニールにも匹敵する効果的なアニールを行うこ
とができる。その反面、ガラス基板(遠赤外光はガラス
基板に吸収されるが、可視・近赤外光(波長0.5〜4
μm)は吸収されにくい)へは吸収されにくいので、ガ
ラス基板を高温に加熱することがなく、また短時間の処
理ですむので、ガラス基板の縮みが問題となる工程にお
いては最適な方法であるといえる。
【0051】続いて、厚さ6000Åの酸化珪素膜50
8を層間絶縁物としてプラズマCVD法によって形成し
た。この層間絶縁物としてはポリイミドを利用してもよ
い。さらにコンタクトホールを形成して、金属材料、例
えば、窒化チタンとアルミニウムの多層膜によってTF
Tの電極・配線509、510を形成した。最後に、1
気圧の水素雰囲気で350℃、30分のアニールを行
い、TFTを完成した。(図5(D))
【0052】上記に示す方法で得られたTFTの移動度
は110〜150cm2 /Vs、S値は0.2〜0.5
V/桁であった。また、同様な方法によってソース/ド
レインにホウ素をドーピングしたPチャネル型TFTも
作製したところ、移動度は90〜120cm2 /Vs、
S値は0.4〜0.6V/桁であり、公知のPVD法や
CVD法によってゲイト絶縁膜を形成した場合に比較し
て、移動度は2割以上高く、S値は20%以上も減少し
た。また、信頼性の面からも、本実施例で作製されたT
FTは1000℃の高温熱酸化によって作製されたTF
Tにひけをとらない良好な結果を示した。
【0053】〔実施例4〕本実施例はアクティブマトリ
クス型の液晶表示装置に本発明を利用する場合の例を示
す。図6のアクティブマトリクス型の液晶表示装置の一
方の基板の概要を示した上面図を示す。
【0054】図において、61はガラス基板であり、6
2はマトリクス状に構成された画素領域であり、画素領
域には数百×数百の画素が形成されている。この画素の
一つ一つにはスイッチング素子としてTFTが配置され
ている。この画素領域のTFTを駆動するためのドライ
バーTFTが配置されているのが周辺ドライバー領域6
2である。画素領域63とドライバー領域62とは同一
基板61上に一体かされて形成されている。
【0055】ドライバー領域62に配置されるTFTは
大電流を流す必要があり、高い移動度が必要とされる。
また、画素領域63に配置されるTFTは画素電極の電
荷を保持率を固める必要があるので、オフ電流(リーク
電流)が少ない特性が必要とされる。例えば、画素領域
63に配置されるTFTは、ニッケルを用いないで単な
るレーザー結晶化によって得られるTFTを用いてもよ
い。この場合には、ニッケル添加した周辺の結晶化と同
じエネルギーでレーザーアニールを行うこととなる。こ
のように低いエネルギーで結晶化せしめたニッケルを用
いない結晶性珪素膜は、ニッケルを用いた場合に比較
し、結晶性が悪い為、モビリティは低いが、総じてオフ
電流が低いという特徴を有し、画素として用いる場合に
は特に問題が生じない。
【0056】
【効果】触媒元素を導入して低温で短時間で結晶化させ
た結晶性珪素膜を用いて、半導体装置を作製すること
で、生産性が高く、特性のよいデバイスを得ることがで
きる。
【図面の簡単な説明】
【図1】 実施例の工程を示す
【図2】 半導体装置の作製装置を示す。
【図3】 半導体装置の作製装置を示す。
【図4】 実施例の作製工程を示す。
【図5】 実施例の作製工程を示す。
【図6】 実施例の構成を示す。
【符号の説明】
11・・・・ガラス基板 12・・・・非晶質珪素膜 13・・・・酸化珪素膜 14・・・・ニッケルを含有した酢酸溶液膜 15・・・・ズピナー 21・・・・マスク用酸化珪素膜 20・・・・酸化珪素膜

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】絶縁表面を有する基板上に酸化珪素膜と非
    晶質珪素膜とを成膜する工程と、 前記成膜された基板を大気に曝すことなく連続して熱処
    理して水素出しを行う工程と、 前記水素出しが行われた基板に連続して窒化珪素膜を成
    膜する工程と、 前記窒化珪素膜をパターニングし選択的に非晶質珪素膜
    を露呈させる工程と、 前記露呈した非晶質珪素膜に接して非晶質珪素膜の結晶
    化を助長する金属元素を導入する工程と、 加熱処理を行い前記非晶質珪素膜を前記金属元素が導入
    された領域から基板に平行な方向に結晶成長させる工程
    と、 を有することを特徴とする半導体装置の作製方法。
  2. 【請求項2】絶縁表面を有する基板上に酸化珪素膜と非
    晶質珪素膜とを成膜するための第1の処理室と、 前記成膜された基板を大気に曝すことなく連続して熱処
    理して水素出しを行うための第2の処理室と、 前記水素出しが行われた基板に連続して窒化珪素膜を成
    膜する第3のための処理室と、 前記第1の処理室と前記第2の処理室と前記第3の処理
    室とに共通して接続された共通室と、 を有し、 前記第1の処理室と前記第2の処理室と前記第3の処理
    室とは密閉された構造を有し、 前記共通室は基板または試料を搬送する手段を有するこ
    とを特徴とする半導体装置の作製装置。
  3. 【請求項3】絶縁表面を有する基板上に酸化珪素膜と非
    晶質珪素膜とを成膜する工程と、 前記成膜された基板を大気に曝すことなく連続して熱処
    理して水素出しを行う工程と、 前記水素出しが行われた基板に連続して窒化珪素膜を成
    膜する工程と、 前記窒化珪素膜を活性層の形状にパターニングし選択的
    に非晶質珪素膜を露呈させる工程と、 前記露呈した非晶質珪素膜に接して非晶質珪素膜の結晶
    化を助長する金属元素を導入する工程と、 加熱処理を行い前記非晶質珪素膜を前記金属元素が導入
    された領域から基板に平行な方向に結晶成長させる工程
    と、 残存した前記窒化珪素膜をマスクとして用いて結晶成長
    した珪素膜をパターニングし活性層を構成する工程と、 を有することを特徴とする半導体装置の作製方法。
  4. 【請求項4】絶縁表面を有する基板上に形成された非晶
    質珪素膜上に活性層を形成するためのマスクとして窒化
    珪素膜を形成する工程と、 前記窒化珪素膜をマスクとして非晶質珪素膜の結晶化を
    助長する金属元素を導入する工程と、 加熱処理を加え前記非晶質珪素膜を結晶化する工程と、 前記窒化珪素膜をマスクとして活性層を形成する工程
    と、 を有することを特徴とする半導体装置の作製方法。
  5. 【請求項5】請求項4または請求5において、金属元素
    として、Ni、Pd、Pt、Cu、Ag、Au、Pd、
    Pd、P、As、から選ばれた一種または複数種類の元
    素を利用することを特徴とする半導体装置の作製方法。
JP22559894A 1994-08-26 1994-08-26 半導体装置の作製方法 Expired - Fee Related JP3464285B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP22559894A JP3464285B2 (ja) 1994-08-26 1994-08-26 半導体装置の作製方法
US08/518,364 US5693541A (en) 1994-08-26 1995-08-23 Method for manufacturing a semiconductor device using a silicon nitride mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22559894A JP3464285B2 (ja) 1994-08-26 1994-08-26 半導体装置の作製方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2002148143A Division JP3999042B2 (ja) 2002-05-22 2002-05-22 半導体装置の作製方法
JP2002148142A Division JP4011404B2 (ja) 2002-05-22 2002-05-22 半導体装置の作製方法

Publications (2)

Publication Number Publication Date
JPH0864545A true JPH0864545A (ja) 1996-03-08
JP3464285B2 JP3464285B2 (ja) 2003-11-05

Family

ID=16831842

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22559894A Expired - Fee Related JP3464285B2 (ja) 1994-08-26 1994-08-26 半導体装置の作製方法

Country Status (2)

Country Link
US (1) US5693541A (ja)
JP (1) JP3464285B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710050A (en) * 1994-08-25 1998-01-20 Sharp Kabushiki Kaisha Method for fabricating a semiconductor device
JP2000031070A (ja) * 1998-07-16 2000-01-28 Ulvac Corp a−Si薄膜形成装置
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
JP2002134426A (ja) * 2000-04-04 2002-05-10 Matsushita Electric Ind Co Ltd 薄膜の製造方法とその製造装置、および薄膜トランジスタとその製造方法
US6913986B2 (en) 2000-04-04 2005-07-05 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabricating a thin film and thin film transistor and method of fabricating same
JP2006054415A (ja) * 2004-08-13 2006-02-23 Samsung Sdi Co Ltd 薄膜トランジスタ及びその製造方法
JP2018533219A (ja) * 2015-10-22 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形及び間隙充填型のアモルファスシリコン薄膜の堆積

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6127279A (en) * 1994-09-26 2000-10-03 Semiconductor Energy Laboratory Co., Ltd. Solution applying method
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6444506B1 (en) * 1995-10-25 2002-09-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing silicon thin film devices using laser annealing in a hydrogen mixture gas followed by nitride formation
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6204101B1 (en) * 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6225218B1 (en) 1995-12-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US5985740A (en) 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3729955B2 (ja) 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645379B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645378B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US5888858A (en) 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6465287B1 (en) * 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
JP3476320B2 (ja) * 1996-02-23 2003-12-10 株式会社半導体エネルギー研究所 半導体薄膜およびその作製方法ならびに半導体装置およびその作製方法
US6057234A (en) * 1996-04-29 2000-05-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor device
JPH10135137A (ja) * 1996-10-31 1998-05-22 Semiconductor Energy Lab Co Ltd 結晶性半導体作製方法
JP3725266B2 (ja) 1996-11-07 2005-12-07 株式会社半導体エネルギー研究所 配線形成方法
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
USRE38466E1 (en) 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
WO1998057372A1 (en) * 1997-06-10 1998-12-17 The Board Of Trustees Of The Leland Stanford Junior University LATERALLY CRYSTALLIZED TFTs AND METHODS FOR MAKING LATERALLY CRYSTALLIZED TFTs
JPH11111991A (ja) 1997-09-30 1999-04-23 Sanyo Electric Co Ltd 薄膜トランジスタ及び薄膜トランジスタの製造方法
JPH11111994A (ja) 1997-10-03 1999-04-23 Sanyo Electric Co Ltd 薄膜トランジスタ及び薄膜トランジスタの製造方法
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7084016B1 (en) * 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6555455B1 (en) * 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
US7402467B1 (en) * 1999-03-26 2008-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6525864B1 (en) 2000-07-20 2003-02-25 Nayna Networks, Inc. Integrated mirror array and circuit device
US6855584B2 (en) 2001-03-29 2005-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP2002299239A (ja) * 2001-04-03 2002-10-11 Fumimasa Yo 半導体膜の製造方法
JP4854866B2 (ja) 2001-04-27 2012-01-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW544938B (en) * 2001-06-01 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6771851B1 (en) 2001-06-19 2004-08-03 Nayna Networks Fast switching method for a micro-mirror device for optical switching applications
TW550648B (en) * 2001-07-02 2003-09-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP4056720B2 (ja) * 2001-08-30 2008-03-05 株式会社半導体エネルギー研究所 結晶質半導体膜の作製方法
TW200304227A (en) * 2002-03-11 2003-09-16 Sanyo Electric Co Top gate type thin film transistor
TWI316736B (en) * 2003-05-02 2009-11-01 Au Optronics Corp Method of fabricating polysilicon film by excimer laser crystallization process
US7364276B2 (en) * 2005-09-16 2008-04-29 Eastman Kodak Company Continuous ink jet apparatus with integrated drop action devices and control circuitry
TWI478134B (zh) * 2006-05-31 2015-03-21 Semiconductor Energy Lab 顯示裝置、顯示裝置的驅動方法、以及電子設備

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343081A (en) * 1979-06-22 1982-08-10 L'etat Francais Represente Par Le Secretaire D'etat Aux Postes Et Telecommunications Et A La Telediffusion (Centre National D'etudes Des Telecommunications) Process for making semi-conductor devices
US4335161A (en) * 1980-11-03 1982-06-15 Xerox Corporation Thin film transistors, thin film transistor arrays, and a process for preparing the same
JPS58102560A (ja) * 1981-12-14 1983-06-18 Fujitsu Ltd 薄膜トランジスタの製造方法
EP0457596B1 (en) * 1990-05-17 1995-12-06 Sharp Kabushiki Kaisha Process for fabricating a thin film transistor
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
DE69428387T2 (de) * 1993-02-15 2002-07-04 Semiconductor Energy Lab Herstellungsverfahren für eine kristallisierte Halbleiterschicht
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710050A (en) * 1994-08-25 1998-01-20 Sharp Kabushiki Kaisha Method for fabricating a semiconductor device
JP2000031070A (ja) * 1998-07-16 2000-01-28 Ulvac Corp a−Si薄膜形成装置
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
JP2002134426A (ja) * 2000-04-04 2002-05-10 Matsushita Electric Ind Co Ltd 薄膜の製造方法とその製造装置、および薄膜トランジスタとその製造方法
US6913986B2 (en) 2000-04-04 2005-07-05 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabricating a thin film and thin film transistor and method of fabricating same
JP2006054415A (ja) * 2004-08-13 2006-02-23 Samsung Sdi Co Ltd 薄膜トランジスタ及びその製造方法
US7375396B2 (en) 2004-08-13 2008-05-20 Samsung Sdi Co., Ltd. Thin film transistor and method of fabricating the same
JP2008153679A (ja) * 2004-08-13 2008-07-03 Samsung Sdi Co Ltd 薄膜トランジスタ
US7452762B2 (en) 2004-08-13 2008-11-18 Samsung Sdi Co., Ltd. Thin film transistor and method of fabricating the same
JP2018533219A (ja) * 2015-10-22 2018-11-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 共形及び間隙充填型のアモルファスシリコン薄膜の堆積

Also Published As

Publication number Publication date
US5693541A (en) 1997-12-02
JP3464285B2 (ja) 2003-11-05

Similar Documents

Publication Publication Date Title
JP3464285B2 (ja) 半導体装置の作製方法
JP2860869B2 (ja) 半導体装置およびその作製方法
JP3562590B2 (ja) 半導体装置作製方法
US5843833A (en) Method for producing semiconductor device
US6184068B1 (en) Process for fabricating semiconductor device
US6326248B1 (en) Process for fabricating semiconductor device
JPH0869967A (ja) 半導体装置の作製方法
JPH0794756A (ja) 半導体装置の作製方法
JP4162727B2 (ja) 半導体装置の作製方法
JP3202687B2 (ja) 半導体装置の作製方法
JP2759411B2 (ja) 半導体装置およびその作製方法
JP4011404B2 (ja) 半導体装置の作製方法
JPH07183535A (ja) 半導体装置およびその作製方法
JPH07183536A (ja) 半導体装置およびその作製方法
JP3999042B2 (ja) 半導体装置の作製方法
JP3545289B2 (ja) 半導体装置作製方法
JP3618604B2 (ja) 半導体装置作製方法
JP3408242B2 (ja) 半導体装置の作製方法
JP3393857B2 (ja) 半導体装置の作製方法
JP3600092B2 (ja) 半導体装置作製方法
JP3393863B2 (ja) 半導体装置の作成方法
JPH1197713A (ja) 半導体装置の作製方法
JP2001338877A (ja) 半導体装置の作製方法
JP3950307B2 (ja) 半導体装置の作製方法
JP3488360B2 (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070822

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080822

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080822

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090822

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090822

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090822

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100822

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100822

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110822

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110822

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110822

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120822

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120822

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130822

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees