JPH08503819A - トランジスタ製造方法及び複数のフォトレジスト層の形成方法 - Google Patents

トランジスタ製造方法及び複数のフォトレジスト層の形成方法

Info

Publication number
JPH08503819A
JPH08503819A JP6513357A JP51335793A JPH08503819A JP H08503819 A JPH08503819 A JP H08503819A JP 6513357 A JP6513357 A JP 6513357A JP 51335793 A JP51335793 A JP 51335793A JP H08503819 A JPH08503819 A JP H08503819A
Authority
JP
Japan
Prior art keywords
region
layer
mask
transistor
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6513357A
Other languages
English (en)
Inventor
イェン、ティング−ピュウ
チェン、シィアング−ウェン
Original Assignee
パラダイム・テクノロジー・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by パラダイム・テクノロジー・インコーポレイテッド filed Critical パラダイム・テクノロジー・インコーポレイテッド
Publication of JPH08503819A publication Critical patent/JPH08503819A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • H01L29/78624Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile the source and the drain regions being asymmetrical
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 半導体基板の上に電流伝達要素を備えたトランジスタを製造する方法が提供される。ごくわずかな整合が、MOSトランジスタのチャネル長といった重要な寸法を画定する。ある実施例では、ゲート(110)の上にチャネル領域(154)が配置されており、第1マスク(126)がチャネル領域(154)の上に形成され、LDD注入が実施される。第2マスク(158)が、ドレイン領域(150)のLDD部分の上に形成されている。第2マスク(158)は、第1マスク(126)の上に延在している。高濃度のドーパントの注入が実施される。LDD構造は、ドレイン領域の上には設けられているが、ソース領域の上には設けられておらず、ソース領域の上には、チャネル長(134)を画定する第1マスク(126)のみが設けられている。ある実施例では、両方のマスクはフォトレジストを含む。第1のフォトレジストマスク(126)は、第2のフォトレジストマスク(158)が形成される間、上昇を防止するために硬化させられる。

Description

【発明の詳細な説明】 トランジスタ製造方法及び複数のフォトレジスト層の形成方法発明の分野 本発明は、半導体デバイスに関し、特にトランジスタに関する。発明の背景 トランジスタは、メモリ、電子計算機及びその他の電気回路に於いてスイッチ ング用及び増幅用として広く用いられている。多くの用途では、トランジスタの 電流伝達要素は、半導体基板内に設けられている。例えば、ラテラルMOSトラ ンジスタのソース、ドレイン及びチャネル領域はその上にゲートが設けられた単 結晶半導体基板内に形成されている。 ある回路では、トランジスタの電流伝達要素は、基板の上に形成されている。 トランジスタを他の回路素子の上に形成することも可能であり、これによって回 路の面積が低減され、パッキング密度が増加し、製造コストが低減される。この ようなトランジスタを製造は、ドーパン等を注入するためのマスクを更に必要と するので、複雑となることがある。例えば、ソース、ドレイン及びチャネル領域 が基板の上に形成されたラテラルMOSトランジスタについて考察する。製造技 術の制限により、ソース、ドレイン及びチャネル領域は、単結晶シリコンではな くポリシリコン内 に形成されている。よく知られているように、このようなトランジスタは、ON 電流とOFF電流との間の僅かの差の影響を受ける。このような電流の差は、ソ ース領域とドレイン領域とが対称的な形状ではないLDD(低濃度にドーパント を注入されたドレイン)構造を提供することによって改善される。例えば、ある トランジスタではLDD構造がドレイン領域に形成され、ソース領域には形成さ れていない。この理由は、ドレイン領域のLDD構造によって、ON電流とOF F電流との差が大きく改善されるが、一方ソース領域のLDD構造ではこのよう な改善がなされず、ON電流が減少するためある。非対称形のLDD構造は、基 板内にソース、ドレイン及びチャネル領域が形成されたトランジスタを製造する 従来の自動整合方法によっては製造できず、この従来の方法はゲートの側壁にス ペーサを形成するための同形層を形成するブランケットエッチング過程を含み、 このスペーサはソース及びドレイン領域に高濃度にドーパントを注入するための マスクとして用いられ、ソース側とドレイン側とが概ね対称的となっている。従 って、ソース領域またはドレイン領域に高濃度の不純物を注入するために別個の マスクが必要となる。更に、トランジスタのゲートがチャネル領域の上ではなく チャネル領域の下に形成されている場合でも、従来の方法では、対称的なLDD 構造または非対称的なLDD構造の何れをも製造できない(例えば、チャネル領 域の下に形成された回路素子 とゲートとの接続を容易にするために、ゲートはチャネル領域の下に形成されて もよい)。更に、ゲートがチャネル領域の下に形成されている場合、LDD構造 を形成するドーパントを注入するためのマスクとしてゲートを用いることができ ないので、更にもう一つのマスクが必要となる。 マスクを更に必要とするので、チャネル長のようなトランジスタの重要な寸法 に影響を及ぼす整合が更に必要となり、最小のチャネル長のような最小の重要な 寸法の条件を満足する必要のあるトランジスタの面積が増加する。更に、整合の 増加による重要な寸法の変化によって、トランジスタの電気的な特性を再現する ことが難しくなる。再現性の低下によって、回路の収率が低くなる。 従って、チャネル長などの重要な寸法に影響を及ぼさず、より小さい面積のト ランジスタ、より高い再現性及びより高い収率を達成し、使用するマスクのより 少ないトランジスタの製造方法が必要とされている。発明の概要 本発明は、チャネル長などの重要な寸法にマスクが影響を及ぼさないトランジ スタの製造方法を提供する。従って、本発明は、面積の小さいトランジスタと、 高い再現性と、高い収率とを達成する。ある実施例では、チャネルの下に形成さ れたゲートと、ソース側には形成されずドレイン側のみに形成されたLDD構造 とを備えたトランジスタが製造され、1枚のマスクのみがチャネル長に影響を及 ぼす。 より詳しく説明すれば、第1のマスクがチャネル領域の上に形成され、LDD構 造を形成するための低濃度のドーパントを注入する間、及び高濃度のドーパント を注入する間に、第1のマスクがチャネル領域をマスクする。LDD構造を形成 するための低濃度のドーパントを注入した後に、低濃度にドープされたドレイン 領域の上に第2マスクが形成され、かつ第1マスクの上に延在するように第2マ スクが形成される。第1マスクがチャネル領域をマスクしているので、高濃度の ドーパントを注入する間に、チャネルのマクは、第2マスクの整合の影響を受け ず、従ってチャネル長は第1マスクのみの整合によって画定される。従って、チ ャネル領域が短くなり、整合に対する許容度が大きくなり、トランジスタの再現 性及び収率が増加する。 更に、上述された実施例は、第2マスクの不整合に対する高い許容度を有する 。特に、第2マスクによって画定される主な重要な寸法は、高濃度にドープされ たドレイン部分のゲートからの横方向のオフセットである。このオフセットが短 い場合、トランジスタのOFF電流は、大きな値となる。しかし、ON電流、ま たはON電流とOFF電流との差に大きな影響を及ぼさずに、このオフセットを 大きな値にすることができる。従って、このトランジスタの製造方法は、第2マ スクの不整合に対する高い許容度を備え、より高い再現性とより高い収率を達成 する。 ある実施例では、これら2つのマスクはフォトレジスト として形成される。この第1マスクは、第2マスクが形成される前に硬化して、 第2マスクが形成される間の第1マスクの損傷を防止する。第1マスクに対する 第2マスクの接着を向上するために、LDD構造を形成するためのドーパントの 注入の後に、第1マスクのフォトレジストは、気体を除去される。 ある実施例では、第2マスクは、高濃度のドーパントを注入するため及び第1 マスクをパターニングするための両方に用いられる。第2マスクが第1マスクの 上を第1マスクを越えて横方向に延在するように、第2マスクの下をくり抜くエ ッチングによって、第1マスクがパターニングされる。横方向に延在する第2マ スクによって、高濃度のドーパントがチャネル領域から隔てられて注入される。 高濃度のドーパントが注入された後に、第2マスクが除去され、LDD構造を形 成するためのドーパントが、チャネル領域をLDDマスクによってマスキングし て注入される。この実施例は、例えば、ただ1つのマスクを整合させることによ る、対称形のLDD構造の形成に適している。 本発明の他の特徴、他の実施例及び変形実施例が以下に説明される。本発明は 添付の請求の範囲によって定義される。図面の簡単な説明 第1A図乃至第1C図は、本発明の方法によって実施された各製造過程でのト ランジスタを例示している。 第2図は、第1A図乃至第1C図に例示された方法の実施例に基づいて製造さ れたトランジスタの、種々のドレイン−ソース間電圧VDSに対する、ドレイン 電流IDとゲート−ソース間電圧VGSとの関係を表すグラフである。 第3図、第4図、第5A図乃至第5C図、及び第6図は、本発明の方法によっ て製造中のトランジスタの断面を表している。 第7図は、本発明の方法によって好適に製造される、プルアップ用トランジス タを備えた6個のトランジスタからなるスタティック・ランダムアクセス・メモ リ(SRAM)の回路図を表している。 第8A図乃至第8C図は、本発明の方法によって製造される第7図に例示され たメモリセルの、各過程での平面図を表している。 第9図及び第10図は、第8A図乃至第8C図のアレイのメモリセルの断面を 表している。好適実施例の説明 第1A図乃至第1C図は、ドレイン側に形成されソース側に形成されていない 低濃度にドープされたドレイン構造(LDD)を備えたトランジスタの製造方法 を例示している。このトランジスタは、幾つかの実施例で、6個のトランジスタ からなるスタティック・ランダムアクセス・メモリ(SRAM)セルのプルアッ プ用トランジスタとして用いられることに適している。 製造過程は、例えば、単結晶シリコン構造からなる半導体基板内または半導体 基板上へのゲート110の形成から始まる。ある実施例では、ゲート110は、 単結晶シリコン基板内にソース、ドレイン及びチャネル領域が形成された他のト ランジスタのポリシリコンゲート(図示されていない)上に形成されている。 ある実施例では、ゲート110は、例えは、チタンシリサイドからなる金属シ リサイド層によって覆われたドープされたポリシリコン層を含むポリサイドから 形成されている。他の実施例では、ゲート110は、ドープされたポリシリコン 、金属シリサイド、またはその他の導電性材料によってその全体が形成されてい る。 ゲート絶縁層114が、ゲート110の上に形成されている。ある実施例では 、ゲート絶縁層114は、LTOCVD(低温度酸化物気相成長法)によって4 50℃で形成された、厚さ400ű40Åのシリコン酸化膜からなる。トラン ジスタの製造過程中に集積回路(図示されていない)に及ぼされる高温度の悪影 響を回避するために、450℃の低い形成温度が選択されている。他の実施例で は、異なる形成温度だけでなく、他の厚さ、他の製造方法、及び例えば窒化シリ コンといった他の材料が用いられる。 後に形成される導電層と、ゲート絶縁層114の下に形成された層との間の電 気的な接続を可能とするために必要な(図示されていない)接触開口部が、ゲー ト絶縁層11 4にエッチングによって形成される。 ゲート及びゲート絶縁層の上に、ポリシリコン層118が形成される。ポリシ リコン層118は、トランジスタのソース、ドレイン及びチャネル領域を含む。 ある実施例では、ポリシリコン層118は、550℃で低圧気相成長法によって シラン(SiH4)から形成された厚さ350ű40Åのアモルファスシリコ ン層からなる。この構造は、次に10時間に亘って温度600℃で窒素雰囲気内 でアニールされ、アモルファスシリコンが再結晶化される。アモルファスシリコ ンを再結晶化することによって、ポリシリコン118の粒子の寸法が大きくなり 、従ってトランジスタの低いOFF電流と高いON電流が達成される。低いOF F電流を達成するために、厚さが小さく選定される。更に厚さを小さくすること によって、低い閾値電圧が達成される。この低い閾値電圧は、例えば、6個のト ランジスタからなるメモリセルのプルアップ用トランジスタに好ましい。他の実 施例では、他の製造方法、他の材料及び他の厚さがポリシリコン層118に用い られる。 次に、ポリシリコン層118は、当業者によく知られているマスキング方法及 びエッチング方法を用いてパターンニングされる。ある実施例では、ポリシリコ ン層118をパターンニングするエッチングは、計算された180%のオーバー エッチングによって行われる。 次に、温度400℃で気相成長法によってシラン(Si H4)から厚さ300ű30Åの二酸化シリコン層122が形成される。他の 実施例では、他の材料、他の厚さ及び他の製造方法が用いられる。層122は、 これから形成されるポリシリコンLDDマスクをエッチングする間のエッチング 止めとして働く。層122はまた、層118のソース及びドレイン領域へドーパ ントを注入する間、汚染物質を遮断するための遮断層として働く。 次に、LDDマスク層126が形成される。ある実施例では、LDDマスク層 126は、LPCVDによって形成された厚さ1200ű100Åのポリシリ コン層からなる。他の実施例では、他の厚さ、他の材料及び他の製造技術が用い られる。LDDマスク層126をパターニングするために用いられるフォトレジ ストマスク130は、公知のフォトリソグラフ技術によって形成される。マスク 130は、トランジスタのチャネル長134と、ゲート110の上に延在するソ ース領域142の一部分であるソースオーバーラップ138と、ゲート110の 上に延在するドレイン領域150の一部分であるドレインオーバーラップ146 とを画定する。ソースオーバーラップ138とドレインオーバーラップ146は 、所望のON電流を供給するために十分な長さを有し、一方チャネル長134は 、小さいOFF電流とソース−ドレイン間の高いブレークダウン電圧を供給する ために十分な長さを有する。 ある実施例では、ON電流及びOFF電流の条件、及び ブレークダウン電圧の条件を満たすために、ソースオーバーラップ138は少な くとも0.1μmであり、チャネル長134は少なくとも0.5μmとなってい る。この実施例のドレインオーバーラップは、−0.1μmより大きい負の値を 有し、即ち、ドレイン150はゲートの上に形成されている必要はなく、ゲート から横方向に0.1μm未満の長さだけ離れて形成されている。この実施例では 、マスク層130の整合に対する許容度は、0.1μmであり、ソースオーバー ラップ138の整合に対する許容度は0.2m±0.1μmであり、ドレインオ ーバーラップの整合に対する許容度は、0.05μm±0.15μmである。チ ャネル長は、0.7μmである。 次に、層126は、公知のエッチング方法によって、ソース領域とドレイン領 域でオーバーエッチングされる。ある実施例では、計算されたオーバーエッチン グは15%である。他の実施例では、異なる値のオーバーエッチングが行われる 。マスク130が取り除かれて、第1B図に例示された構造が形成される。 LDDドーパントが、ソース領域142とドレイン領域150に注入される。 LDDマスク126が、チャネル領域154をマスクしている。二酸化シリコン 層122は、汚染物質を遮断する。Pチャネルトランジスタからなるある実施例 では、ドーパントは、角度0°、即ちウェハに対して垂直な方向からイオン加速 電圧55keVでイオン注 入されたBF2からなる。イオンドーズ量は、5×1013cm-2である。他の実 施例では、他のドーパント、他のドーズ量及び他のドーパントの導入方法が用い られる。Nチャネルトランジスタには、N型のドーパントが用いられる。 第1C図に示されているように、高濃度のドーパントの注入用のマスク158 が、LDD領域150Aの上に形成されている。ある実施例では、マスク158 は、マスク材料からなる層を形成した後に、ドーパントが注入される位置のマス ク材料を選択的に除去して形成される。そのような実施例では、マスク158は 、フォトレジスト層を形成した後に、フォトレジスト層の一部を紫外線によって 露光し、かつ露光された部分または露光されていない部分の何れかを現像液内で 選択的に除去して形成される。 マスク158の整合を容易にするために、マスク158は、マスク126とチ ャネル領域154との上に重なるように形成されている。しかし、チャネル領域 のマスキングは、マスク126がチャネル領域をマスクしているので、マスク1 58には依存しない。従って、チャネル長134は、マスク158の整合の影響 を受けることはない。その結果、チャネル長はマスクの不整合の影響を受ず、最 大のOFF電流の条件と、ソース−ドレイン間のブレイクダウン電圧の条件とに よって決定される最小値に設定される。従って、パッキング密度を増加すること ができる。ソースオーバーラップ138も、マスク158の整合の影響を受 けず、ドレインオーバーラップ146も、マスク158がドレイン側でゲート1 10の上に横方向に延在しているので、マスク158の整合の影響を受けない。 チャネル長と、ソースオーバーラップ及びドレインオーバーラップとが、マスク 158の整合の影響を受けないことによって、トランジスタの電気的特性の再現 性が高まり、従ってトランジスタ製造時の収率が増加する。 高濃度にドープされたドレイン領域の一部分150Bのゲート110からの横 方向のオフセット162は、最大のOFF電流の条件と両立する最小値となるよ うにマスク158によって画定される。ある実施例では、オフセット162は0 .2μmとなっている。6個のトランジスタを備えたSRAMに用いられる場合 を含む多くの用途では、オフセット162が最小値以上の場合には、オフセット 162が大きく増加しても、ON電流が大きく減少することがないので、ドレイ ン側でのマスク158の整合は重要ではない。例えば、ある実施例では、オフセ ット162は0.2μmから0.6μmまでの値とすることができる。従って、 トランジスタは、マスク158の不整合に対する許容度を有し、高い再現性と収 率が達成される。 要約すれば、トランジスタは、マスク158の不整合に対する許容度を有し、 かつチャネル長と、ソースオーバーラップ及びドレインオーバーラップは、ただ 1枚のマスク即ちマスク130(第1A図)によって画定される。不整 合に対する許容範囲が広がり、トランジスタの面積が低減され、高い再現性と高 い収率が達成される。 次に、ドーパントがドレイン領域の一部分150Bとソース領域142に導入 される。Pチャネルトランジスタの実施例では、ドーパントは、ウェハに対して 垂直な方向かと0゜から7゜の角度をなす方向から、イオン加速電圧55keV でイオン注入されたBF2からなる。イオンドーズ量は、3×1015cm-2であ る。 他の実施例では、他のドーパント、他のドーズ量及び他のイオン注入方法が用 いられる。Nチャネルトランジスタでは、N型ドーパントが用いられる。 マスク158は、当業者によく知られた方法で除去される。所望に応じて、L DDマスク126もまた、当業者によく知られた方法で除去される。ある実施例 では、マスク126は、80%の計算されたオーバーエッジによって除去される 。層122は、当業者によく知られた方法で所望に応じて除去される。 次に、この構造が20分間に亘って850℃でアニールされ、ソース領域とド レイン領域のドーパントが活性化される。次に、パッシベーション層を含む他の 層が、必要に応じて形成される。 LDD構造をドレイン側ではなくソース側に形成する場合も同様の方法が用い られる。 第2図は、第1A図乃至第1C図に例示された方法によ って製造されたPチャネルトランジスタの、種々のドレイン−ソース間電圧VD Sでの、ゲート−ソース間電圧VGSに対するドレイン電流IDの変化を表すグ ラフである。このトランジスタは、6個のトランジスタからなるSRAMセル用 のプルアップ用トランジスタとして用いるのに適している。第2図に例示されて いるように、OFF電流は、VGS=0.0Vである時、1.0pA未満であり 、ON電流は、VGS=−5.0Vある時、VDS=−5.0Vでは、約100 .0nAであり、VDS=−1.0Vでは、約10.0nAである。6個のトラ ンジスタからなるSRAMセルでは、OFF電流が小さいために消費電力が小さ くなり、ON電流が大きいためにメモリの安定性が高められる。 第3図は、チャネル長と、ソースオーバーラップ及びドレインオーバーラップ とが一つのマスクのみによって決定される、他のLDDトランジスタの製造方法 を例示している。第3図の製造方法は、層122が形成されない以外は、第1A 図乃至第1C図に示された方法と等しい。LDDマスク126は、厚さ500Å のシリコン酸化膜から形成されている。マスク126は、公知のエッチング方法 でパターニングされ、ある実施例では異方性エッチングによってパターニングさ れる。他の実施例では、他の厚さ、他のエッチング方法及び例えば窒化シリコン 膜などの他の材料が用いられる。 図3の層118は、マスク126がパターニングされた後にパターニングされ る。マスク126がパターニングされた時、層118はゲート絶縁層114を保 護するエッチング止めとして働く。 高濃度のドーパントが注入され、アニールによってドーパントが活性化された 後、マスク126は、例えば、等方性エッチングなどの公知の方法によって除去 される。層118は、マスク126が取り除かれた後にパターニングされる。他 の実施例では、層118は、LDD構造を形成するためのドーパントの注入が行 われる前に、またはマスク126がパターニングされた後の他の過程でパターニ ングされる。 更に他の実施例では、層118は層126が形成される前にパターニングされ る。そのような実施例では、層126は厚さ500Åの二酸化シリコンからなり 、ゲート絶縁層114は、厚さ400Åの二酸化シリコンからなり、層126は 、層114に損傷を与えないように30%の計算されたオーバーエッチングとな るように異方性エッチングによってパターニングされる。 第4図は、LDDマスク126の上に遮断酸化膜122が形成されていること 以外は、第1A図乃至第1E図及び第3図に例示された方法と等しいトランジス タの製造方法を例示している。遮断酸化膜122は、ドレイン領域またはソース 領域に高濃度のドーパントが注入されている間の 汚染物質を遮断する。フォトレジストマスク158、二酸化シリコン層122及 びマスク126は、ある実施例ではドーパントが注入された後に除去され、また 他の実施例では除去ずに最終的な構造内に残される。 第1A図乃至第1E図、第3図及び第4図の実施例では、マスク126及び1 58は、フォトレジストとして形成されている。その場合、第1A図のマスク1 30は省略されている。フォトレジスト158が形成される前に、マスク126 が硬化させられフォトレジスト158が成長する間にマスク126が持ち上げら れるかまたは損傷を受けることが防止される。マスク126の硬化は、マスク全 体の硬化または、マスクの表面上の硬化した核の形成を含む。ある実施例では、 加熱によってマスクが硬化される。そのような実施例では、ウェハは、弱真空に よってチャックの上に保持され、かつ紫外線を照射される。硬化過程の始めに、 紫外線源は低出力状態となっている。チャックの内部加熱器によって、チャック 温度が室温から120℃まで60秒間でランプ状に上昇させられる。次に、紫外 線源が高出力状態となり、チャック加熱器の出力も増加させられ、チャック温度 が、120℃から150℃まで60秒間でランプ状に上昇させられる。次に紫外 線源とチャック加熱器のスイッチがオフされ、ウェハは室温まで冷却される。こ の過程によって、フォトレジストの表面に硬化した核が形成される。そのような 実施例では、この硬化過程は、アメリカ 合衆国カリフォルニア州サンタクララのフュージョン・セミコンダクタ・システ ムズ社(Fusion Semiconductor Systems Cor poraton)から入手可能な「FUSION 150 PC(商標)」型の オーブン内で実施される。 フォトレジスト層158が形成される前であって、LDD構造を形成するため のドーパントが注入された後に、フォトレジスト層126から気体が除去され、 フォトレジスト層158とより良好に接着される。ある実施例では、気体を除去 する過程は、フォトレジスト層126を加熱する過程またはこの層を低圧中にさ らす過程、またはこの両方の過程を含み、LDD構造を形成するためのドーパン トを注入する過程中に、フォトレジスト層126内に溶解する気体の少なくとも 一部を除去し、かつフォトレジスト層の分解によって発生する気体の少なくとも 一部を除去する。ある実施例では、気体の除去は、150℃のオーブン内でフォ トレジスト層126をベーキングすることによって行われる。ベーキングの間、 ベーキング中に、オーブンの圧力は2分間で133×103パスカル(1000 トル)から665〜1330パスカル(5〜10トル)に減圧され、次に3分間 でオーブンに窒素が充填され、圧力が133×103パスカル(1000トル) に増加される。この減圧/昇圧サイクルは、2回以上繰り返される。次に、ウェ ハは、圧力133×103パスカル(1000トル)、温度150 ℃でオーブン内の窒素雰囲気中に20分間保持される。次にウェハはオーブンか ら取り出され、室温まで冷却される。ある実施例では、気体を除去するためのこ のベーキングが、アメリカ合衆国カリフォルニア州サニーベイルのイールド・エ ンジニアリング・システム社(Yield Engineering Syst em Inc.)から入手される「YES−15(商標)」型のオーブン内で行 われる。 ある実施例では、マスク126は、マスク158が形成される前に除去される 。層122は形成されないか、またはマスク126が形成される前、又はマスク 126が除去された後に形成される。 第5A図乃至第5C図は、チャネル長及びソースオーバーラップとドレインオ ーバーラップとを画定するために、ただ一つのマスクの整合を必要とする、トラ ンジスタの他の製造方法を例示している。ゲート110、ゲート絶縁層114、 及び層118、126は、第1A図に例示された各層と等しい。ある実施例では 、層122は厚さ300Åの二酸化シリコンからなり、層126は厚さ1200 Åから1500Åのポリシリコンからなる。他の実施例では、他の材料または異 なる厚さの材料が用いられる。 従来のフォトリソグラフ技術によって形成されたフォトレジストマスク158 は、層126をパターニングするため及び高濃度のドーパントを注入するための マスクとして用いられる。層126をパターニングするエッチッングは、 第5B図に例示されているように、マスク158の下を切り取り、マスクの下に 配置された層126の一部分を除去する。層122は、このエッチング過程中の エッチング止めとして働く。 次に、高濃度のドーパントの注入が、層158によって露出されたソース領域 及びドレイン領域の一部分にドーパントを導入することによって実施される。層 122は汚染物質を遮断する。 次に、マスク158が除去され(第5C図)、層126をマスクとして用いて 、LDD構造を形成するためにドーパントが注入される。層122は汚染物質を 遮断する。ある実施例では、低濃度にドープされたドレイン領域150Aの長さ 610は0.2μmであり、その結果、OFF電流は、多くの用途に対して十分 に低い値となる。この製造方法はソース領域及びドレイン領域にドーパントを注 入するために、ただ1つのマスクの整合のみを必要とし、即ちマスク158の整 合のみを必要とし、層126をパターニングするための別個のマスクを必要とし ない。 第1A図乃至第1C図、第3図、第4図、及び第5A図乃至第5C図の方法の ある実施例では、ゲートはチャネル領域の上に配置されている。このような実施 例ではマスク126がトランジスタゲートとなる。ゲート絶縁層は、層118と ゲート126との間に形成される。 第6図は、ゲートがチャネル領域の下に形成されたトラ ンジスタのチャネル長と、ソースオーバーラップ及びドレインオーバーラップと を画定するために、ただ1つのマスクの整合を必要とする他の方法を表している 。ゲート110、ゲート絶縁層114及びポリシリコン層118は、第1A図に 例示されたものと等しい。LDDマスク126は、二酸化シリコンまたは他の適 切な材料によって、ポリシリコン層118の上に形成されている。次に、LDD 構造を形成するためのドーパントが、ソース領域142とドレイン領域150に 注入される。二酸化シリコン層158はマスク126の上に形成され、マスク1 26の側壁に沿った層158の一部分が、低濃度にドープされたソース領域及び ソース領域142A及びドレイン領域150Aをマスクした状態で、高濃度のド ーパントが層158を通して注入される。 第7図は、6個のトランジスタからなるSRAMセル106を例示しており、 このセルでは、プルアップ用トランジスタ810、814は上述された方法によ って形成されている。pMOSトランジスタ810及び814のソースは、例え ば5.0Vまたは3.0Vの電源電圧VCCに接続されている。トランジスタ8 14のドレインは、プルダウン用nMOSトランジスタ818のドレインに接続 されており、このnMOSトランジスタ818は、概ねグランド電位の基準電圧 VSSに接続されている。トランジスタ810のドレインは、プルダウン用nM OSトランジスタ 822のドレインに接続されており、このnMOSトランジスタ822のソース はVSSに接続されている。トランジスタ814のゲートは、トランジスタ81 8のゲートと、トランジスタ810及びトランジスタ822のドレインと、nM OSパストランジスタ826のドレインとに接続されており、このnMOSパス トランジスタ826のソースは、ビットラインBLに接続されている。トランジ スタ810のゲートは、トランジスタ822のゲートと、トランジスタ814及 びトランジスタ818のドレインと、nMOSパストランジスタ830のドレイ ンとに接続されており、このnMOSパストランジスタ830のソースは、補数 ビ 6及び830のゲートは、ワードラインWLに接続されている。 第8A図乃至第8C図、第9図及び第10図は、第7図のメモリセルを備えた メモリアレイの製造方法を例示している。第8A図乃至第8C図は、異なる製造 過程での4個の等しいメモリセル806−1〜806−4を備えたアレイ部分を 例示している。アレイには任意の個数のメモリセルが含まれている。第9図及び 第10図は、メモリセル806−3の断面図を表している。 各メモリセル806−i(i=1,2,3,4)のプルダウン用トランジスタ 822−i、818−i及びパストランジスタ826−i、830−iは、19 92年6月2 3日にN.Godinhoらに付与された米国特許第5,124,774号明細 書、及び1991年8月9日にN.Godinhoらによって出願された米国特 許出願第07/743,008号明細書で4個のトランジスタからなるSRAM セルに関して説明された方法によって形成され、この米国特許第5,124,7 74号明細書、及び米国特許出願第07/743,008号明細書は、ここで言 及したことによって本出願の一部とされたい。簡単に説明すると、Pウェル91 0(第9図及び第10図)が、トランジスタ822−i、818−i、826− i、及び830−iのアクティブ領域の位置で単結晶シリコン基板内に形成され ている。フィールド酸化膜領域914は、必要な絶縁を提供するべく基板表面に 形成されている。ゲート酸化膜層918は、トランジスタのアクティブ領域の上 に熱成長によって形成されている。 次に、第1のポリシリコン層922(第8A図、第9図及び第10図)が、そ の構造の上に形成される。第1のポリシリコン層922の上に、酸化シリコン層 926と窒化シリコン層930が形成される。層922、926及び930を重 ねてエッチングすることによって、層922がパターニングされ、プルダウン用 トランジスタ822−i、及び818−iのゲートが形成される。第1のポリシ リコン層922は更に、ワードラインWL−1、WL−2(第8A図及び第10 図)を提供し、その一部は、各パストラ ンジスタ826−i、830−iのゲートとして働く。 積み重ねられた層922、926及び930をマスクとして用いて、N型ドー パントが基板に注入される。このドーパントの注入によって、プルダウン用トラ ンジスタとパストランジスタのソース領域及びドレイン領域のLDD構造を形成 するためのドーパントが注入される。このドーパントはまた、VSSラインVS S−1、VSS−2(第8A図)にも注入される。プルダウン用トランジスタと パストランジスタのソース領域、ドレイン領域及びチャネル領域を含む領域の境 界は、第8A図の破線によって表されている。 次に、シリコン酸化膜からなる同型層が形成されてエッチングされ、プルダウ ン用トランジスタ及びパストランジスタのゲートの周りのスペーサ934(第9 図及び第10図)が形成される。スペーサを形成するエッチングの間に除去され たゲート酸化膜層918の一部は、熱成長によって再び形成される。次に、高濃 度のN型ドーパントが、基板のソース領域及びドレイン領域と、VSSラインV SS−iとに注入される。スペーサ934は、プルダウン用トランジスタ及びパ ストランジスタのLDD構造を形成するためのマスクとして働く。 二酸化シリコン層938(第9図及び第10図)が、ウェハの上に形成される 。メモリセル806−3の各トランジスタ822−3、818−3のゲートに接 触するゲート 接触開口部943−3、946−3及び他のメモリセルの同様なゲート接触開口 部が、この構造内に形成される。メモリセル806−3のプルダウン用トランジ スタのドレインに対する埋め込み接触開口部950−3及び954−3と、他の メモリセルの同様な接触開口部とが形成される。パストランジスタ826−i、 830−iの各々のドレインに対する接触開口部958−1〜958−4が、埋 め込み接触開口部と同時に形成され、後に形成されるビットラインとの接続を可 能とする。 チタンシリサイド層966(第9図及び第10図)が、ゲート接触開口部94 2−3、946−3と、埋め込み接触開口部950−3、954−3と、ビット ライン接触開口部958−iなどの接触開口部によって露出されたシリコン基板 の表面に形成される。 第8B図、第9図及び第10図に示されているように、導電層110が、チタ ンシリサイドからなる層の上に形成される。第8B図では、図面を簡略化するた めにセル806−3のみが例示されている。各メモリセル806−iでは、層1 18から形成された接続部が、トランジスタ822−iのゲートをトランジスタ 818−iのドレインに接続し、かつトランジスタ818−iのゲートをトラン ジスタ822−iのドレインに接続する。層118はまた、ビットライン接触開 口部958−iの上に導電プレートを提供する。更に、層110は、プルアップ 用トランジスタ8 10−i及び814−iのゲートを提供する。 ある実施例では、層110は、チタンシリサイドによって覆われたドーパント を注入されたポリシリコンを含み、このチタンシリサイドは窒化チタンによって 覆われている。パターニングされてドーパントを注入されたポリシリコンにチタ ンをスパッタリングし、このポリシリコンの上にチタンシリサイドを形成するた めにチタンを窒素雰囲気中で加熱し、シリサイドの上及び構造全体の上に窒化チ タンを形成し、窒化チタン及び反応していないチタンを除去し、この構造を再び 窒素雰囲気中で加熱しチタンシリサイドの全体の上に窒化チタンを形成すること によって、層110が形成される。他の実施例では、他の材料及び他の製造方法 が用いられる。 次に、二酸化シリコン層114(第9図及び第10図)が、第1A図について 説明されたように形成される。セル806−3の接触開口部968−3、972 −3(第8C図、第9図及び第10図)が層114を通してエッチングされ、そ の後に形成されるポリシリコン層118と、層110に形成される接続部との間 の電気的な接続を可能とする(実際の開口部972−3の位置は第10図の平面 内には存在しない。しかし、ある実施例では第10図に例示されているように開 口部972−3は第10図の平面内に配置されている)。同様の接触開口部が、 他のセル806−iにエッチングによって形成される。ある実施例では、層 114の厚さは400ű40Åであり、接触開口部を形成するために、50% の計算されたオーバーエッチングによってエッチングが行われる。 次に、ポリシリコン層118(第8C図、第9図及び第10図)が、第1A図 について上述されたように形成されパターニングされる。ポリシリコン層118 は、VCCラインと、プルアップ用トランジスタ810−i、814−iのソー ス領域、ドレイン領域及びチャネル領域とを提供する。第8C図は、ポリシリコ ン層118と、メモリセル806−3の第1のポリシリコン層922の一部と、 メモリセル806−4の導電層110の一部と、上部接触開口部958−iとを 例示している。 二酸化シリコン層122(第9図及び第10図)が、第1A図について上述さ れたように形成される。次に、ポリシリコンマスク126は、各メモリセル80 6−iのプルアップ用トランジスタのチャネル領域154−1−i、154−2 −iの上に形成される。マスク126は、第1A図及び第1B図に関して上述さ れた方法によって形成される。P型のLDD構造を形成するためのドーパントが 、第1B図に関して上述されたように層118に注入される。P型ドーパントが 、プルアップ用トランジスタのソース領域及びドレイン領域と、VCCラインV CC−1及びVCC−2へ注入される。 次に、フォトレジストマスク158(第9図及び第10 図)が、第1C図に関して上述されたように各メモリセル806−iのLDD領 域150A−1−i及び150A−2−i(第8C図)の上に形成される。P型 ドーパントが、ドレイン領域の露出された部分とプルアップ用トランジスタのソ ース領域とに注入され、高濃度のドーパントの注入が行われる。このドーパント は、VCCラインVCC−iにも注入される。次に、マスク158及び126が 、第1C図に関して説明されたように除去される。 この構造は、1つまたは複数の絶縁層(図示されていない)に覆われている。 絶縁層を貫通する接触開口部が形成され、ビットライン接触開口部958−iの 上の層110の一部を露出する。次に、ドーパントを注入されたポリシリコンま たはタングステンシリサイドからなる層(図示されていない)が形成され、各接 触開口部958−iの上に導電領域が形成される。これらの導電領域は、この後 に形成されるビットラインとパストランジスタ826−i及び830−iのドレ インとを電気的に接続する。各メモリセル806−iの2つの領域を各々の接触 開口部958−iから離して配置することで個々のビットラインの間の空間が広 げられる。 次に、(図示されていない)二酸化シリコン層が形成される。導電領域に対す る開口部が、二酸化シリコン層に形成される。VCCラインVCC−iと垂直に 形成された金属ビットライン(図示されていない)が、これらの開口部 を通って導電領域と接続される。(図示されていない)パッシベーション層が、 ビットラインの上に形成される。 本発明が、これまで説明された実施例に関して例示されてきたが、他の実施例 及び変形実施例も本発明の技術的視点を逸脱するものではない。例えば、本発明 は、pMOSトランジスタとnMOSトランジスタの両方を包含するものであり 、本発明は特定の材料及び特定の製造方法に限定されるものではない。本発明は また、トランジスタのゲートの形状及び寸法または他の層の形状及び寸法または 回路素子の寸法及び形状に限定されるものではない。本発明は、非対称なLDD 構造を備えたトランジスタを含む、単結晶基板内に形成された電流伝達要素を備 えたトランジスタを包含する。本発明は、メモリセルに限定されるものではなく 、また特定の回路および特定の用途に限定されるものでもなく、本発明は、特定 の電圧値及び電流値に限定されるものでもない。下に配置された層を硬化する過 程と下に配置された層から気体を除去する過程とを含む、2つのフォトレジスト 層を形成する方法は、その用途がトランジスタの製造に限定されるものではない 。更に、複数のフォトレジスト層、例えば3個または4個のフォトレジスト層は 、1つまたは複数の上部の層が形成される前に1つまたは複数の下部の層を硬化 させ下部の層から気体を除去することで、この方法によって形成される。ある実 施例では、各層は、次の上部の層が形成される前に、硬化されかつ気体を 除去される。他の実施例及び変形実施例は、添付の請求の範囲によって定義され る本発明の技術的範囲を逸脱するものではない。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI H01L 21/8244 27/11 8518−4M H01L 21/265 M 7735−4M 27/10 381

Claims (1)

  1. 【特許請求の範囲】 1.トランジスタの製造方法であって、 前記トランジスタのゲートを半導体基板の中または半導体基板の上に形成する 過程と、 前記ゲートを形成する過程の後に、前記基板の上に半導体層を形成する過程で あって、前記半導体層が第1領域と、前記第1領域から間隔を置いて配置された 第2領域とを含み、前記第1領域及び前記第2領域の何れか一方が前記トランジ スタのソース領域であり、前記第1領域及び前記第2領域のもう一方が前記トラ ンジスタのドレイン領域であり、前記半導体層が更に前記第1領域と前記第2領 域と分離するチャネル領域を有する、該半導体層を形成する過程と、 前記チャネル領域の上に第1マスクを形成する過程と、 前記第1領域と前記第2領域内にドーパントを導入する過程と、 前記チャネル領域に隣接した前記第1領域の一部分の上に第2マスクを形成す る過程と、 前記第2領域と、前記第1マスク及び前記第2マスクによって覆われていない 前記第1領域の一部分とにドーパントを導入する過程とを有することを特徴とす るトランジスタの製造方法。 2.前記第1領域が、前記トランジスタの前記ドレイン領域であり、前記第2領 域が、前記トランジスタの前記ソー ス領域であることを特徴とする請求項1に記載のトランジスタの製造方法。 3.前記第2マスクが、前記第1マスクの上に延在することを特徴とする請求項 1に記載のトランジスタの製造方法。 4.前記第2マスクが前記第2領域の上に延在しないことを特徴とする請求項1 に記載のトランジスタの製造方法。 5.前記チャネル領域に隣接する前記第1領域の一部分が、前記ゲートの上に延 在し、 前記第1マスク及び前記第2マスクによって覆われていない前記第1領域の一 部分が、前記ゲートから横方向に間隔を置いて配置されていることを特徴とする 請求項1に記載のトランジスタの製造方法。 6.前記半導体層が、多結晶材料からなる層を有することを特徴とする請求項1 に記載のトランジスタの製造方法。 7.前記半導体層が、非単結晶シリコンからなることを特徴とする請求項1に記 載のトランジスタの製造方法。 8.前記第1マスクが、シリコンからなることを特徴とする請求項1に記載のト ランジスタの製造方法。 9.前記第1マスクが、絶縁物質からなることを特徴とする請求項1に記載のト ランジスタの製造方法。 10.前記第1マスクが、二酸化シリコンからなることを特徴とする請求項1に 記載のトランジスタの製造方法。 11.前記第1マスクが、フォトレジストからなることを特徴とする請求項1に 記載のトランジスタの製造方法。 12.前記第2マスクが、フォトレジストからなり、 前記第1マスクを形成する過程が、前記第1マスクを硬化させ、前記第2マス クを形成する前記過程の間に前記第1マスクが損傷を受けることを防止する過程 を有することを特徴とする請求項11に記載のトランジスタの製造方法。 13.前記第1マスクを硬化させる過程が、前記第1マスクを加熱する過程を有 することを特徴とする請求項12に記載のトランジスタの製造方法。 14.前記第1マスクを硬化させる過程が、 前記半導体基板をチャックの上に配置する過程と、 前記チャックを加熱する過程と、 前記第1マスクに紫外線を照射する過程とを有することを特徴とする請求項1 2に記載のトランジスタの製造方法。 15.前記第2マスクがフォトレジストからなり、 前記第1マスクを形成する過程の後であって、かつ前記第2マスクを形成する 過程の前に、前記第2マスクを形成する過程の間に前記第1マスクが上昇するこ とを防止するべく前記第1マスクを処理する過程を更に有することを特徴とする 請求項11に記載のトランジスタの製造方法。 16.前記第1領域及び前記第2領域にドーパントを導入する前記過程の後であ って、かつ第2マスクを形成する前記過程の前に、前記第1マスクから気体を除 去し、前記第2マスクとの接着性を向上させる過程を更に有することを特徴とす る請求項11に記載のトランジスタの製造方法。 17.前記第2マスクがフォトレジストからなることを特徴とする請求項16に 記載のトランジスタの製造方法。 18.前記気体を除去する過程が、前記第1マスクを、約150℃の温度と、約 665パスカルから1330パスカル(約5トルから10トル)の圧力のもとに 置く過程を有することを特徴とする請求項16に記載のトランジスタの製造方法 。 19.前記気体を除去する過程が、前記第1マスクを加熱する過程を有すること を特徴とする請求項17に記載のトランジスタの製造方法。 20.前記加熱過程が、大気圧より低い圧力のもとで実施されることを特徴とす る請求項19に記載のトランジスタの製造方法。 21.前記気体を除去する過程が、前記第1マスクを大気圧より低い圧力のもと に置く過程を有することを特徴とする請求項16に記載のトランジスタの製造方 法。 22.前記第2マスクを形成する過程が、 前記第1マスクの上と、前記第1領域及び前記第2領域の上とにフォトレジス トを形成する過程と、 前記第1マスクに対する選択的な過程によって、前記形成されたフォトレジス トの一部分を除去する過程を有することを特徴とする請求項11に記載のトラン ジスタの製造方法。 23.前記第2領域と、前記第1領域の一部分とにドーパ ントを導入する前記過程の前に、前記第2領域と、前記第1領域の一部とにドー パントを導入する前記過程の間に汚染物質を遮断する遮断層を、前記第1領域と 前記第2領域との上に形成する過程を更に有することを特徴とする請求項1に記 載のトランジスタの製造方法。 24.前記遮断層を形成する過程が、第1マスクを形成する前記過程の前に実施 され、前記遮断層が、前記第1領域と前記第2領域とにドーパントを導入する前 記過程の間に汚染物質を遮断することを特徴とする請求項23に記載のトランジ スタの製造方法。 25.トランジスタのソース領域及びドレイン領域にドーパントを注入する方法 であって、 前記トランジスタのチャネル領域の上に第1マスクを形成し、かつ前記第1マ スクの上に第2マスクを形成する過程であって、前記第2マスクが、少なくとも 前記ソース領域と前記ドレイン領域の何れか一方の上で、前記第1マスクの上に 横方向に延在する、該形成過程と、 前記ソース領域と前記ドレイン領域にドーパントを導入する過程と、 前記第2マスクを除去する過程と、 前記ソース領域と前記ドレイン領域にドーパントを導入する過程とを有するこ とを特徴とするトランジスタのソース領域及びドレイン領域にドーパントを注入 する方法。 26.前記第2マスクが、前記ソース領域と前記ドレイン 領域の上の前記第1マスクの上を横方向に延在することを特徴とする請求項25 に記載のトランジスタのソース領域及びドレイン領域にドーパントを注入する方 法。 27.前記第1マスク及び前記第2マスクを形成する前記過程が、 前記ソース領域、前記ドレイン領域及び前記チャネル領域の上に第1の材料層 を形成する過程と、 前記第1の材料層の上に第2マスクを形成する過程と、 前記第2マスクの下に配置された前記第1層の一部分を除去するべく、前記第 2マスクによって選択的に前記第1層の一部分を除去する過程とを有することを 特徴とする請求項25に記載のトランジスタのソース領域及びドレイン領域にド ーパントを注入する方法。 28.前記ソース領域、前記ドレイン領域及び前記チャネル領域が、前記トラン ジスタのゲートの上に形成された半導体層の領域からなることを特徴とする請求 項25に記載のトランジスタのソース領域及びドレイン領域にドーパントを注入 する方法。 29.第1領域と、前記第1領域と等しい導電型の第2領域と、前記第1領域と 前記第2領域とを分離する第3領域とを備えたトランジスタの製造方法であって 、 前記第3領域の上に第1層を形成する過程と、 前記第1層が前記第3領域をマスクした状態で、前記導電型のドーパントを前 記第1領域と前記第2領域とに導入 する過程と、 前記第1領域、前記第2領域、及び前記第3領域と、前記第1層との上に第2 層を形成する過程と、 前記第2層の一部分を除去し、前記第2層の残りの部分が、前記第3領域に隣 接する前記第1領域の第1部分の上に配置されるように、前記第2層の前記一部 分を選択的に除去する過程と、 前記第1層と前記第2層が、前記第3領域と、前記第1領域の前記第1部分と をマスクした状態で、前記導電型のドーパントを前記第1領域の第2部分と前記 第2領域とに導入する過程を有することを特徴とするトランジスタの製造方法。 30.前記第2層の前記残りの部分が、前記第1層の上に延在することを特徴と する請求項29に記載のトランジスタの製造方法。 31.前記第2層の前記残りの部分が、前記第2領域の上に延在しないことを特 徴とする請求項30に記載のトランジスタの製造方法。 32.前記第1領域と前記第2領域の一方が、前記トランジスタのソース領域で あり、前記第1領域と前記第2領域のもう一方が、前記トランジスタのドレイン 領域であり、前記第3領域が、前記トランジスタのチャネル領域であり、 前記第1領域、前記第2領域及び第3領域が、前記トランジスタのゲートの上 に形成された半導体層の領域であり、 前記第1領域及び前記第2領域の各々が、前記ゲートの上に延在し、 前記第1領域の前記第2部分が、前記ゲートから横方向に間隔を置いて配置さ れていることを特徴とする請求項29に記載のトランジスタの製造方法。 33.第1層を形成する前記過程の前に、 前記第1領域と、前記第2領域と、前記第3領域とを含むアモルファスシリコ ンからなる層を形成する過程と、 前記アモルファスシリコンからなる層を加熱し、前記アモルファスシリコンを 再結晶させる過程とを更に有することを特徴とする請求項29に記載のトランジ スタの製造方法。 34.第1層を形成する前記過程が、 前記第1層を、前記第1領域と、前記第2領域と、前記第3領域との上に形成 する過程と、 前記第3領域の上の前記第1層をマスクする過程と、 前記第1領域と前記第2領域の上の前記第1層をエッチングする過程とを有し 、 前記第1領域と、前記第2領域と、前記第3領域との上に前記第1層を形成す る前記過程の前に、前記第1層をエッチングする前記過程の間に、エッチング止 めとして働く層を、前記第1領域と、前記第2領域と、前記第3領域との上に形 成する過程を更に有することを特徴とする請求項29に記載のトランジスタの製 造方法。 35.第1領域と、前記第1領域と等しい導電型の第2領域と、前記第1領域と 前記第2領域とを分離する第3領域とを有するトランジスタの製造方法であって 、 前記前記第1領域と、前記第2領域と、第3領域との上に第1層を形成する過 程と、 前記第3領域の上と、前記第1領域の一部との上に第2層を形成する過程と、 前記第2層の下の前記第1層の一部を除去するべく、前記第2層によって選択 的に前記第1層の一部を除去する過程と、 前記第1層と前記第2層とが、前記第3領域と、前記第1領域の一部とをマス クした状態で、前記第1領域と前記第2領域にドーパントを導入する過程と、 前記第2層を除去する過程と、 前記第1層が前記第3領域をマスクした状態で、前記第1領域と前記2領域に ドーパントを導入する過程と有することを特徴とするトランジスタの製造方法。 36.前記第1領域と、前記第2領域と、前記第3領域との上に前記第1層を形 成する前記過程の前に、前記除去過程が、第3層に対して選択的となるように、 前記第1領域と、前記第2領域と、前記第3領域との上に前記第3層を形成する 過程を更に有することを特徴とする請求項35に記載のトランジスタの製造方法 。 37.第1領域と、第2領域と、前記第1領域と前記第2 領域とを分離する第3領域とを備えたトランジスタにドーパントを注入する方法 であって、 前記第3領域の上にマスクを形成する過程と、 前記第1領域と前記第2領域とにドーパントを導入する過程と、 前記マスクの上に同型の材料層を形成し、前記第1領域と、前記第2領域と、 前記第3領域とを完全に覆う過程と、 前記同型層が、前記第1領域と前記第2領域とを完全に覆い、かつ前記マスク の側壁に沿った前記同型層の部分が、前記第1領域と前記第2領域との一部分を マスクした状態で、前記同型層を通して前記第1領域と前記第2領域とにドーパ ントを導入する過程とを有することを特徴とするトランジスタにドーパントを注 入する方法。 38.トランジスタT1、T2、T3及びT4を有するメモリセルを形成する方 法であって、 電極S1及びD1と、ゲートG1とを備えた前記トランジスタT1を形成し、 かつ電極S2及びD2と、ゲートG2とを備えた前記トランジスタT2を形成す る過程と、 前記トランジスタT1及びT2の上に、前記ゲートG1と前記電極D2とを接 続する第1接続部と、前記ゲートG2と前記電極D1とを接続する第2接続部と を形成する過程と、 前記第1接続部及び前記第2接続部と、前記トランジスタT1及びT2との上 に絶縁層を形成する過程と、 前記第1接続部と前記第2接続部との電気的な接続を可能とするべく、前記絶 縁層に接触開口部を形成する過程と、 前記接触開口部を通して、前記第1接続部と、前記第2接続部とを接続する半 導体層を前記絶縁層の上に形成する過程と、 トランジスタT3及びT4のチャネル領域である、前記半導体層の部分CH3 及びCH4の上に第1マスクを形成する過程と、 一方が前記トランジスタT3のソース領域であり、他方が前記トランジスタT 3のドレイン領域である、前記半導体層の部分S3及びD3と、一方が前記トラ ンジスタT4のソース領域であり、他方が前記トランジスタT4のドレイン領域 である、前記半導体層の部分S4及びD4とにドーパントを導入する過程と、 前記チャネル領域CH3に隣接する前記領域D3の一部分の上と、前記チャネ ル領域CH4に隣接する前記領域D4の一部分の上に、第2マスクを形成する過 程と、 前記第1マスクと前記第2マスクとによって露出された、前記領域S3とS4 と、前記領域D3とD4との一部分にドーパントを導入する過程とを有すること を特徴とするメモリセルの製造方法。 39.前記第2マスクが、前記第1マスクの上に延在することを特徴とする請求 項38に記載のメモリセルの製造方法。 40.フォトレジストの第1層及びフォトレジストの第2層を形成する方法であ って、 構造の上にフォトレジストの前記第2層を形成し、前記構造の一部を前記第2 層によって露出する過程と、 前記第2層をドーパントマスクとして用いて、前記構造にドーパントを導入す る過程と、 前記ドーパントを導入する過程の後に、前記第2層の気体を除去する過程と、 前記気体を除去する過程の後に、前記第2層の上に前記第2層と接触して前記 第1層を形成する過程とを有することを特徴とするフォトレジストの第1層及び フォトレジストの第2層とを形成する方法。 41.前記気体を除去する過程が、前記第2層を加熱する過程を有することを特 徴とする請求項40に記載のフォトレジストの第1層及びフォトレジストの第2 層を形成する方法。 42.前記加熱過程が、大気圧よりも低い圧力のもとで行われることを特徴とす る請求項41に記載のフォトレジストの第1層及びフォトレジストの第2層を形 成する方法。 43.前記気体を除去する過程が、温度約150℃、圧力約665パスカルから 約1330パスカル(約5トルから約10トル)のもとに前記第2層を置く過程 を有することを特徴とする請求項40に記載のフォトレジストの第1層及びフォ トレジストの第2層を形成する方法。 44.フォトレジストの第1層及びフォトレジストの第2層を有する構造を製造 する方法であって、 フォトレジストの前記第2層を形成する過程と、 前記第2層にドーパント導入する過程と、 前記ドーパントを導入する過程の後に、後に形成される前記第1層との接着性 を向上させるべく、前記第2層から気体を除去する過程と、 前記第2層の上に前記第2層と接触して前記第1層を形成する過程とを有する ことを特徴とするフォトレジストの第1層とフォトレジストの第2層とを有する 構造を製造する方法。 45.トランジスタの製造方法であって、 半導体基板中にまたは半導体基板の上に前記トランジスタのゲートを形成する 過程と、 前記ゲートの形成過程の後に、前記基板の上に、第1領域と前記第1領域から 間隔を置いて配置された第2領域とを含む半導体層を形成する過程であって、前 記第1領域と前記第2領域の一方が、前記トランジスタのソース領域であり、前 記第1領域と前記第2領域のもう一方が、前記トランジスタのドレイン領域であ り、前記半導体領域が、更に前記第1領域と前記第2領域とを分離するチャネル 領域を有する、半導体層を形成する過程と、 前記チャネル領域の上に第1マスクを形成する過程と、 前記第1領域と前記第2領域とにドーパントを導入する 過程と、 前記第1マスクを除去する過程と、 前記チャネル領域の上と、前記チャネル領域に隣接する前記第1領域の一部分 との上に、第2マスクを形成する過程と、 前記第2領域と、前記第2マスクによって覆われていない前記第1領域の一部 分とに、ドーパントを導入する過程とを有することを特徴とするトランジスタの 製造方法。
JP6513357A 1992-12-01 1993-11-30 トランジスタ製造方法及び複数のフォトレジスト層の形成方法 Pending JPH08503819A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US984,666 1992-12-01
US07/984,666 US5348897A (en) 1992-12-01 1992-12-01 Transistor fabrication methods using overlapping masks
PCT/US1993/011422 WO1994013009A1 (en) 1992-12-01 1993-11-30 Transistor fabrication methods and methods of forming multiple layers of photoresist

Publications (1)

Publication Number Publication Date
JPH08503819A true JPH08503819A (ja) 1996-04-23

Family

ID=25530754

Family Applications (2)

Application Number Title Priority Date Filing Date
JP5299886A Withdrawn JPH07169965A (ja) 1992-12-01 1993-11-30 半導体装置及びその製造方法
JP6513357A Pending JPH08503819A (ja) 1992-12-01 1993-11-30 トランジスタ製造方法及び複数のフォトレジスト層の形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP5299886A Withdrawn JPH07169965A (ja) 1992-12-01 1993-11-30 半導体装置及びその製造方法

Country Status (6)

Country Link
US (1) US5348897A (ja)
EP (1) EP0672299A4 (ja)
JP (2) JPH07169965A (ja)
KR (1) KR950704808A (ja)
CA (1) CA2149538A1 (ja)
WO (1) WO1994013009A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0565231A3 (en) * 1992-03-31 1996-11-20 Sgs Thomson Microelectronics Method of fabricating a polysilicon thin film transistor
US5643801A (en) * 1992-11-06 1997-07-01 Semiconductor Energy Laboratory Co., Ltd. Laser processing method and alignment
US6410374B1 (en) 1992-12-26 2002-06-25 Semiconductor Energy Laborartory Co., Ltd. Method of crystallizing a semiconductor layer in a MIS transistor
US6544825B1 (en) * 1992-12-26 2003-04-08 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a MIS transistor
JPH06275640A (ja) * 1993-03-22 1994-09-30 Semiconductor Energy Lab Co Ltd 薄膜トランジスタおよびその作製方法
KR950026032A (ko) * 1994-02-25 1995-09-18 김광호 다결정실리콘 박막트랜지스터의 제조방법
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JP3514912B2 (ja) * 1995-08-31 2004-04-05 東芝電子エンジニアリング株式会社 薄膜トランジスタの製造方法
WO1997014185A1 (en) * 1995-10-11 1997-04-17 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
KR100214075B1 (ko) * 1995-11-03 1999-08-02 김영환 박막트랜지스터 제조 방법
US5670399A (en) * 1995-12-06 1997-09-23 Micron Technology, Inc. Method of making thin film transistor with offset drain
US5753543A (en) * 1996-03-25 1998-05-19 Micron Technology, Inc. Method of forming a thin film transistor
JP3323889B2 (ja) * 1996-10-28 2002-09-09 三菱電機株式会社 薄膜トランジスタの製造方法
US5780329A (en) * 1997-04-03 1998-07-14 Symbios, Inc. Process for fabricating a moderate-depth diffused emitter bipolar transistor in a BICMOS device without using an additional mask
US6187639B1 (en) 1997-04-21 2001-02-13 Taiwan Semiconductor Manufacturing Company Method to prevent gate oxide damage by post poly definition implantation
US5925914A (en) * 1997-10-06 1999-07-20 Advanced Micro Devices Asymmetric S/D structure to improve transistor performance by reducing Miller capacitance
JPH11112002A (ja) * 1997-10-07 1999-04-23 Semiconductor Energy Lab Co Ltd 半導体装置およびその製造方法
KR100670039B1 (ko) * 1998-03-31 2007-07-09 삼성전자주식회사 엘디디 영역을 가지는 다결정 규소 박막 트랜지스터의 제조 방법
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7193594B1 (en) * 1999-03-18 2007-03-20 Semiconductor Energy Laboratory Co., Ltd. Display device
JP4666723B2 (ja) 1999-07-06 2011-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6646462B1 (en) * 2002-06-24 2003-11-11 Advanced Micro Devices, Inc. Extraction of drain junction overlap with the gate and the channel length for ultra-small CMOS devices with ultra-thin gate oxides
TWI301669B (en) * 2002-09-12 2008-10-01 Au Optronics Corp Method of forming lightly doped drains
TWI511302B (zh) * 2013-08-23 2015-12-01 Ye Xin Technology Consulting Co Ltd 薄膜電晶體及使用該薄膜電晶體的顯示陣列基板的製造方法
CN104425266A (zh) * 2013-08-23 2015-03-18 业鑫科技顾问股份有限公司 薄膜晶体管及使用该薄膜晶体管之显示阵列基板的制造方法
US11417525B2 (en) * 2018-10-08 2022-08-16 Globalfoundries U.S. Inc. Multiple patterning with mandrel cuts defined by block masks

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4253888A (en) * 1978-06-16 1981-03-03 Matsushita Electric Industrial Co., Ltd. Pretreatment of photoresist masking layers resulting in higher temperature device processing
US4244752A (en) * 1979-03-06 1981-01-13 Burroughs Corporation Single mask method of fabricating complementary integrated circuits
JPS59111367A (ja) * 1982-12-16 1984-06-27 Matsushita Electronics Corp 半導体装置の製造方法
JPH01302769A (ja) * 1988-05-30 1989-12-06 Seikosha Co Ltd 逆スタガー型シリコン薄膜トランジスタの製造方法
US5166771A (en) * 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
US5124774A (en) * 1990-01-12 1992-06-23 Paradigm Technology, Inc. Compact SRAM cell layout
JP2502787B2 (ja) * 1990-04-27 1996-05-29 シャープ株式会社 Mos型薄膜トランジスタの製造方法
US5064775A (en) * 1990-09-04 1991-11-12 Industrial Technology Research Institute Method of fabricating an improved polycrystalline silicon thin film transistor
JPH04254335A (ja) * 1991-02-06 1992-09-09 Nec Corp 半導体装置及びその製造方法
JPH04334054A (ja) * 1991-05-09 1992-11-20 Mitsubishi Electric Corp 半導体装置、電界効果トランジスタおよびその製造方法
US5151374A (en) * 1991-07-24 1992-09-29 Industrial Technology Research Institute Method of forming a thin film field effect transistor having a drain channel junction that is spaced from the gate electrode

Also Published As

Publication number Publication date
CA2149538A1 (en) 1994-06-09
EP0672299A4 (en) 1997-02-19
WO1994013009A1 (en) 1994-06-09
US5348897A (en) 1994-09-20
EP0672299A1 (en) 1995-09-20
JPH07169965A (ja) 1995-07-04
KR950704808A (ko) 1995-11-20

Similar Documents

Publication Publication Date Title
JPH08503819A (ja) トランジスタ製造方法及び複数のフォトレジスト層の形成方法
US4822750A (en) MOS floating gate memory cell containing tunneling diffusion region in contact with drain and extending under edges of field oxide
US4075045A (en) Method for fabricating FET one-device memory cells with two layers of polycrystalline silicon and fabrication of integrated circuits containing arrays of the memory cells charge storage capacitors utilizing five basic pattern deliberating steps
US4160987A (en) Field effect transistors with polycrystalline silicon gate self-aligned to both conductive and non-conductive regions and fabrication of integrated circuits containing the transistors
US4095251A (en) Field effect transistors and fabrication of integrated circuits containing the transistors
JPS59124158A (ja) Fetメモリ素子の形成方法
JPH07202193A (ja) 半導体装置及びその製造方法
JP3731998B2 (ja) 埋込型メモリ論理素子及びその製造方法
JPH09191112A (ja) 低パワーメモリ装置用スペーサ型薄膜ポリシリコントランジスタ
KR950021643A (ko) 디램셀 제조방법
US5151374A (en) Method of forming a thin film field effect transistor having a drain channel junction that is spaced from the gate electrode
US5030582A (en) Method of fabricating a CMOS semiconductor device
JP2589438B2 (ja) 半導体メモリ装置及びその製造方法
US4878100A (en) Triple-implanted drain in transistor made by oxide sidewall-spacer method
US5616948A (en) Semiconductor device having electrically coupled transistors with a differential current gain
US5536962A (en) Semiconductor device having a buried channel transistor
KR0161474B1 (ko) 셀 플러그 이온주입을 이용한 반도체 메모리장치의 제조방법
US6166398A (en) Thin film transistors
US5893737A (en) Method for manufacturing semiconductor memory device
US4811066A (en) Compact multi-state ROM cell
US6235570B1 (en) Method for fabricating a semiconductor device
US5593922A (en) Method for buried contact isolation in SRAM devices
KR0170311B1 (ko) 스태틱 랜덤 억세스 메모리 및 그 제조방법
US6737711B1 (en) Semiconductor device with bit lines formed via diffusion over word lines
KR0144124B1 (ko) 반도체 장치의 ldd트랜지스터 제조방법