JPH08502859A - 層状の超格子物質を有する集積回路およびその製造方法 - Google Patents

層状の超格子物質を有する集積回路およびその製造方法

Info

Publication number
JPH08502859A
JPH08502859A JP6511186A JP51118694A JPH08502859A JP H08502859 A JPH08502859 A JP H08502859A JP 6511186 A JP6511186 A JP 6511186A JP 51118694 A JP51118694 A JP 51118694A JP H08502859 A JPH08502859 A JP H08502859A
Authority
JP
Japan
Prior art keywords
layer
electrode
integrated circuit
capacitor
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP6511186A
Other languages
English (en)
Inventor
博之 由森
均 渡辺
デ アラーホ,カルロス エー. パス
修三 平出
ディー. マクミラン,ラリー
孝士 三原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Olympus Corp
Original Assignee
Olympus Optic Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/981,133 external-priority patent/US5423285A/en
Priority claimed from US08/065,666 external-priority patent/US5468684A/en
Priority claimed from US08/065,656 external-priority patent/US5434102A/en
Application filed by Olympus Optic Co Ltd filed Critical Olympus Optic Co Ltd
Publication of JPH08502859A publication Critical patent/JPH08502859A/ja
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/68Crystals with laminate structure, e.g. "superlattices"
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B7/00Single-crystal growth from solutions using solvents which are liquid at normal temperature, e.g. aqueous solutions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B7/00Single-crystal growth from solutions using solvents which are liquid at normal temperature, e.g. aqueous solutions
    • C30B7/005Epitaxial layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

(57)【要約】 従来のCMOS技術に匹敵す層状超格子物質DRAM(100)の製造方法。MOSFET(72)をシリコン基板(71)上に形成する。厚いBPSG層(77D)およびその上の薄いSOG層(77E)でMOSFET(72)を覆う。白金の層(81)を堆積させ、層状超格子物質を含む中間層(84)を堆積させ、アニールし、白金の第2層(84)を堆積させ、次にパターニングすることによりキャパシタ(80)を形成する。更にSOG層(86)を堆積させ、MOSFET(72)およびキャパシタ(80)に達するコンタクトホール(106,107)を一部開口させ、SOG(86)をアニールし、コンタクトホール(106,107)を完全に開口させ、そしてPt/Ti/PtSi配線層(88,288)を堆積させる。

Description

【発明の詳細な説明】 層状の超格子物質を有する集積回路およびその製造方法 1.発明の分野 本発明は、一般的には集積回路の構造および製造に関し、更に詳しくは層状の 超格子電子部品を従来のMOS技術に合体することができる集積回路の構造およ び製造方法に関する。 2.問題点の説明 旧来から知られているように、強誘電物質には、照射損傷に対する耐久性が高 く且つ従来の揮発性記憶素子で用いられる低レベルの電圧を用いて書込および読 出ができる単純、低コスト、高密度の不揮発性記憶素子を実現できる可能性があ る。米国特許出願第07/919,186号はその例であり、ここで参考として引用する。 しかし、30年を超える研究にもかかわらず、これまで商業的に成功した強誘電 物質はない。成功しなかった主な理由は、記憶素子で典型的に起きる頻繁なスイ ッチング(切換動作)によって強誘電物質に比較的早期に疲労が生ずることであ る。しかし、最近発見された新たなクラスの物質としていわゆる層状超格子物質 は、疲労に対する耐久性が従来の強誘電物質の10,000倍にもなる。米国特 許出願第07/965,190号はその例であり、ここで参考として引用する。これら層状 超格子物質の多くは、誘電率が高く、漏洩電流が少ないこと、そのため、他の点 では従来と同じである揮発性記憶素子において誘電物質として良好な特性を発揮 するはずであることが分かっている。 この新らしい、疲労しにくい、強誘電性で高誘電率の層状超格子物質を、最も 普及しており、単純で、かつ最も集積度の高い集積回 路装置であるDRAM(ダイナミック ランダム アクセス メモリー)に利用 する要望は非常に高い。DRAM回路は記憶セルのアレーを持っていて、個々の セルは2つの部品で構成されている。1つは電解効果トランジスタ(FET)で あり、もう1つはキャパシタである。最も一般的な回路設計の場合、トランジス タの一端はキャパシタの一端に接続していて、トランジスタの他端およびトラン ジスタのゲート部は、それぞれビット線およびワード線と呼ばれる外部接続線に 接続している。キャパシタの他端は参照電圧に接続している。個々のセルに情報 を記憶するには、キャパシタの両端に電圧をかけてキャパシタに電荷を蓄積させ る。トランジスタはキャパシタにアクセスするためのスイッチとして作用する。 したがって、DRAMセルの作製には、トランジスタと、キャパシタと、外部回 路への接続部3箇所とを作製する必要がある。高誘電率の層状超格子物質を、他 の点では従来と同じであるシリコンDRAM技術においてキャパシタ内に組み込 むことができれば、非常に高密度化したDRAMを得ることができるはずである 。疲労しにくい強誘電物質層状超格子物質を従来のシリコンDRAMのキャパシ タに組み込めれば、疲労しにくい高密度の不揮発性記憶素子が可能になるはずで ある。 しかし、公知のシリコン集積回路技術を層状超格子物質等の強誘電物質に適用 すると、強誘電物質の特性も従来のシリコン材料の性質も劣化し易い。例えば、 特開平2−304796のように、強誘電物質を従来のDRAMに用いると、強 誘電物質の元素がシリコンデバイス中に拡散してその特性を変え易い。そのため 、従来の強誘電物質デバイスで最も成功した例では、比較的厚い拡散バリア(典 型的には窒化シリコンで形成)によって、強誘電物質をシリコンデバイスから分 離している。更に、一般に強誘電性に対抗し得る白金 を強誘電物質の隣接物質として選択する。William D.Millerらの米国特許第5,0 46,043号を参照。更に、厚い酸化層その他の層によって強誘電層をシリコンデバ イスからある程度離すことがこれまで一般に行われてきた。上記の解決策では、 白金がシリコン技術で用いられている二酸化シリコンその他従来の絶縁体から剥 離するという第2の問題が直ちに起きた。この問題は白金と絶縁体との間に「接 着」層として薄いチタン層を介在させることで解決した。もう一点、強誘電物質 が従来のシリコン技術と不適合な例として、従来のDRAMの手法により、すな わちキャパシタの底部をシリコン基板上のトランジスタのソース/ドレイン活性 領域に接続する金属層により、強誘電性キャパシタをトランジスタに接続した場 合、強誘電物質に亀裂が発生し易い。その原因として考えられるのは、強誘電物 質は比較的高温でアニールする必要があるが、接続した金属がこのアニール中に 膨張・収縮することである。この問題の一つの解決案として、二酸化チタンの隔 離層(アイソレーション層)を付加することが行われてきた。前出のMiller特許 を参照。しかし、接着層あるいは隔離層として取り入れたチタンは層状超格子物 質の特性を劣化させることが分かった。 他の研究として、ガリウム砒素系集積回路技術に強誘電物質を取り入れる試み も行われた。しかし、ガリウム砒素は強誘電物質のアニール温度で不安定なため 、砒素を含んだガスがアニール中に放出されて強誘電物質を汚染することが分か った。この問題に対する一つの解決案として、ガリウム砒素トランジスタをシリ コンの層中に完全に封入し、その後、このシリコン上に堆積させた絶縁酸化膜上 に強誘電物質キャパシタを形成し、次に、アニールとキャパシタのパターニング を行った後、キャパシタを活性領域と接続する。キャパシタが既に形成してある ので、この接続は底部電極の上面への接 続となる。Condensed Matter News,Vol.l,No.3,1992に掲載された“Process Technology Developments For GaAs Ferroelectric Nonvolatile Memory”by L. E.Sanchez et al.および“Integrated Ferroelectrics”by J.F.Scott et al. を参照。しかし、このプロセスは、シリコンはガス発生の問題が無いという理由 からだけでなく、得られた構造の非平坦性が著しく、イオンエッチング等の従来 技術でメタライゼーション層を形成する際に問題を生ずるという理由からも、シ リコン技術に適用できないと考えられる。上記Sanchezet al.のSection 4.3を 参照。更に、メタライゼーションが可能であっても、BPSG内に深く埋め込ま れていて、BPSGの表面にある平坦化されたメタライゼーション層が集積回路 の部品への電気的接続を容易にしている従来のトランジスタのシリコン集積回路 構造は達成されない。例えばSanchezの論文におけるメタライゼーションと、VLS I Techonolgy,second edition,edited by S.M.Sze,McGraw-Hill Book Comp any,Chapter 9,p.376に示されているような従来のシリコン集積回路のメタラ イゼーションとを比較参照。 3.問題点に対する解決策 本発明は、強誘電物質および層状超格子物質を従来のDRAM技術に取り入れ た集積回路の構造および製造方法を提供することにより、上記の問題を解決する 。従来のMOSトランジスタをシリコン基板上に作製し、従来法で第1の絶縁層 で被覆する。次に、層状超格子物質その他の強誘電物質を用いてキャパシタを作 製し、第2の絶縁層で被覆する。第1および第2の絶縁層を貫通するコンタクト ホールを開口し、配線層を堆積させて従来のDRAMコンタクト構造を形成する 。 従来プロセスにおける強誘電物質の特性劣化の問題は、従来のB PSG絶縁層を覆う比較的薄いスピン・オン・グラス(SOG)層を堆積させる ことにより解決する。 キャパシタの電極も、強誘電物質または層状超格子物質の中間層も、キャパシ タの完成後にのみパターニングする。これが亀裂発生の防止に重要であることを 見出した。電極と中間層はどのような順序でパターニングしてもよい。 配線層は、従来その上に強誘電性キャパシタを形成した際に亀裂や剥離を生じ 易かったが、強誘電性キャパシタを堆積し、パターニングし、第2の絶縁層内に 封入した後にのみ形成する。望ましくは、第2の絶縁層もSOGである。トラン ジスタの活性領域と接触している配線層の部分は第1および第2の絶縁層を貫通 し、キャパシタと接触している配線層の部分は第2の絶縁層を貫通し、これら接 触部に接続している配線層の部分は第2の絶縁層上にある。 望ましくは、配線層は多層構造であって、第1層が白金シリサイド、第2層が チタンのような金属、および第3層が白金であるが、他の金属を用いてもよい。 上記の構造においては、配線層が第2絶縁層を貫通している以外は、SOGが キャパシタを完全に取り囲んでいる。絶縁層のSOGをSi34で代替してもよ いが、SOGが望ましい。 層状超格子物質の性能がチタンによって劣化する問題は、実質的に白金から成 る底部電極を用いることによって解決した。SOG/白金界面は、従来の酸化シ リコン/白金界面の剥離の問題は無い。 亀裂および剥離を解消するためのもう一つの重要なファクターは、SOGの多 段階処理プロセスである。望ましくは、SOG絶縁層を3段階以上で加熱し、各 加熱段階の温度をそれ以前の加熱段階よりも高い温度にすることである。各加熱 段階において温度を昇降させることも有益である。 従来のシリコン技術に匹敵する高品質のデバイスを製造するには、配線層用の コンタクトホールの作製プロセスも重要である。望ましくは、コンタクトホール 作製の各工程を含む単一のプロセスで各コンタクトホールを作製する。望ましく は、多段階エッチングプロセスを用い、第1のマスクパターンを介して第1のエ ッチングを行うことによりキャパシタに達するコンタクトホールを形成すると共 にトランジスタに達するコンタクトホールの始めの部分を形成した後、第2のエ ッチングパターンを介して第2のエッチングを行うことによりトランジスタの活 性領域に達するコンタクトホールを完成する。望ましくは、上記第2マスクパタ ーンのコンタクトホールサイズは、上記第1マスクパターンのコンタクトホール サイズと等しいか小さくする。この多段階エッチングプロセスにより、配線層と 他の回路要素との短絡発生を防止する。 高品質のデバイスの作製にはアニールプロセスが決定的な影響を持つことも見 出した。強誘電性層を、従来技術と同様に、堆積後直ちにアニールする。望まし くは、キャパシタの個々の電極も堆積後にアニールする。特に重要なのは、配線 層の堆積前の第2絶縁層のアニールである。望ましくは、第2絶縁層のアニール をコンタクトホールの2回のエッチングとエッチングとの間に行う。 低温アニールを、望ましくは窒素と水素の混合ガス中で、第1は配線層の堆積 と第2の配線層の堆積との間に行うことにより、MOSデバイスが強誘電物質ま たは層状超格子物質のプロセス無しに本来の電気特性に戻る。 上記プロセスは、アニールとベーキング以外のプロセスで従来のシリコンMO S技術を使う。例えば、コンタクト形成プロセスには湿式エッチングを用いる。 キャパシタと金属のパターニングプロセスにはイオンエッチングを用いる。SO GおよびBPSGのような 従来のMOS材料を用いる。レジストプロセスおよびフォトプロセスも従来のも のである。 MOSの回復アニール工程以外の全てのアニール工程において、MOS回路は 厚いBPSG層およびSOG層に封入されている。シリコンMOS技術で普通に 用いられているBPSGおよびSOG以外の特別な隔離層またはバリア層を必要 としない。 以上をまとめると、本発明のプロセスおよび集積回路構造は、従来の強誘電物 質デバイス構造およびプロセスよりは、従来のMOS集積回路構造およびプロセ スの方に近い。同時に、本発明により製造した集積回路内の個々のMOSデバイ スの電子的性能は、純粋なMOSデバイスの性能の数%の範囲内であり、強誘電 物質デバイスおよび層状超格子物質デバイスの電子的性能は、単純な強誘電物質 デバイスおよび層状超格子物質デバイスの性能の数10%の範囲内である。知ら れているかぎりにおいては、このことは強誘電物質デバイスおよび高誘電率デバ イスでこれまで達成されなかったことである。以下に、添付図面を参照し、本発 明の他の多くの特徴、目的および利点を説明する。 図面の簡単な説明 図1は、本発明による方法の諸工程を示すフローチャートであり、 図2は、第3の金属層あるいは配線層の堆積プロセスの諸工程を示すフローチ ャートであり、 図3は、シリコン基板、このシリコン基板内に形成されたドープされた井戸、 フィールド酸化層、トランジスタ、およびこのトランジスタ上の絶縁層を含む、 本発明の望ましい態様により作製途中のMOS集積回路の一部の断面図を示し、 図4は、図3のMOSウェハの一部の拡大断面図であって、第1SOG層を堆 積した後のキャパシタ上の絶縁層の構造をより詳細に示しており、 図5から図10までは、図3のウェハの一部の断面図であって、第1SOG層 上のキャパシタの作製を示しており、 図11は、図3のウェハにおいて、第1SOG層、キャパシタ、および第2絶 縁層を形成し、第1コンタクト部レジストを堆積させ、第1コンタクト部のフォ トおよびエッチング処理をした後の状態を示す断面図であり、 図12は、図11のウェハにおいて、レジストを除去し、ウェハをアニールし 、第2コンタクト部レジストを堆積させ、第2コンタクト部のフォトおよびエッ チング処理をした後の状態を示す断面図であり、 図13は、図12のウェハにおいて、レジストを除去し、第1の金属配線層を 堆積させた後の状態を示す断面図であり、 図14は、図13のウェハにおいて、第2および第3の配線層を堆積させた後 の状態を示す断面図であり、 図15は、図14のウェハにおいて、第3金属層のフォトマスクおよびエッチ ング処理により配線層をパターニングし、レジスト除去、配線層の平坦化、およ び絶縁キャップ層の堆積をした後の状態を示す断面図であり、 図16Aは、図13のウェハにおいて、本発明の方法および装置のもう一つの 態様により、第1配線層のフォトマスクおよびエッチング処理とレジスト除去を した後の状態を示す断面図であり、 図16Bは、図16Aのウェハにおいて、第2金属配線層を堆積させた後の状 態を示す断面図であり、 図16Cは、図16Bのウェハにおいて、第2配線層のフォトマ スクおよびエッチング処理とレジスト除去をした後の状態を示す断面図であり、 図17は、図16Cのウェハにおいて、第2および第3の配線層を堆積させた 後の状態を示す断面図であり、 図18は、図17のウェハにおいて、第3金属層のフォトマスクおよびエッチ ング処理により配線層をパターニングし、レジスト除去、配線層の平坦化、およ び絶縁キャップ層の堆積をした後の状態を示す断面図であり、 図19から図21までは、キャパシタをパターニングするプロセスのもう一つ の態様を示し、 図22は、第1絶縁層と第1キャパシタ電極との間の異なる幾つかの界面につ いて2、4、6、8、10ボルトでのヒステレシス曲線を示し、各曲線群につい て10ボルトでのヒステレシス曲線について測定した2Pr、Ec+、Ec−の 値を示しており、 図23は、第3のアニール処理を行わずに作製したデバイスについて、作製過 程の幾つかの点について2、4、6、8、10ボルトでのヒステレシス曲線を示 し、各曲線群について10ボルトでのヒステレシス曲線について測定した2Pr 、Ec+、Ec−の値を示しており、 図24は、第3のアニール処理を行って作製したデバイスについて、作製過程 の幾つかの点について2、4、6、8、10ボルトでのヒステレシス曲線を示し 、各曲線群について10ボルトでのヒステレシス曲線について測定した2Pr、 Ec+、Ec−の値を示しており、 図25は、シリコンのエッチング処理を行わずに作製したPMOS集積回路デ バイスについて4水準のゲート電圧についてドレイン電圧とドレイン電流との関 係を示しており、 図26は、シリコンのエッチング処理を行って作製したPMOS集積回路デバ イスについて4水準のゲート電圧についてドレイン電圧とドレイン電流との関係 を示しており、 図27は、図18のウェハの一部において寸法aおよびbを定義する図であり 、 図28は、b/(a+b)とアニール温度との関係のグラフであって、白金シ リサイド層の厚さが第4アニールの温度にどのように依存しているかを示してお り、 図29は、b/(a+b)とアニール時間との関係のグラフであって、白金シ リサイド層の厚さが第4アニールの時間にどのように依存しているかを示してお り、 図30は、電流およびコンタクト抵抗が第4アニール後の負荷電圧によりどの ように変化するかを示すグラフであり、 図31は、種々のガス雰囲気における第4アニール処理について、2、4、6 、8、10ボルトでのヒステレシス曲線を示し、各曲線群について10ボルトで のヒステレシス曲線について測定した2Pr、Ec+、Ec−の値を示しており 、 図32は、前駆体溶液のビスマスを10%過剰にして、タンタル酸ストロンチ ウムビスマスを用いて作製した強誘電物質キャパシタの、本発明による全処理過 程を行った後の状態について、2、4、6、8、10ボルトでのヒステレシス曲 線を示しており、 図33は、図32のデバイスについて2Prとスイッチングサイクル数との関 係のグラフであり、このデバイスが疲労し難く、高い分極率を持つことを示して おり、 図34は、本発明の方法により、第3金属層の堆積プロセスにおいて第1配線 層の堆積と第2配線層の堆積との間にMOSアニールを行って作製したPMOS 集積回路デバイスについて4水準のゲー ト電圧についてドレイン電圧とドレイン電流との関係を示しており、 図35は、本発明の方法により、第3金属層の堆積プロセスにおいて第1配線 層の堆積と第2配線層の堆積との間にMOSアニールを行って作製したNMOS 集積回路デバイスについて4水準のゲート電圧についてドレイン電圧とドレイン 電流との関係を示しており、および 図36は、前駆体溶液のビスマスを10%過剰にして、タンタル酸ストロンチ ウムビスマスを用い、第3金属層の堆積プロセスにおいて第1配線層の堆積と第 2配線層の堆積との間にMOSアニールを行って作製した強誘電物質キャパシタ の、本発明による第2アニール後および全処理過程後の状態について、2、4、 6、8、10ボルトでのヒステレシス曲線を示している。 望ましい態様の説明 まず図15に、本発明の望ましい態様による集積回路100の一部の断面図を 示す。ここで注意すべきは、集積回路を示す各図は現実の半導体デバイスの特定 の部分の現実の断面図を示すものではなく、他の示し方よりも明瞭かつ十分に本 発明の構造および方法を描写するために用いた理想化した表現である。図示した 集積回路100の一部は、トランジスタ72とキャパシタ80を含む1個のDR AMセルを代表している。集積回路100は、基板71、望ましくは単結晶シリ コンウェハ上に、トランジスタ72が形成されている。トランジスタ72は、基 板71のドーピング領域により形成されたソース/ドレイン活性領域73Aおよ び73Bと、ゲート74をを含む。集積回路100は更に、フィールド酸化領域 75と、上にキャパシタ80が形成された第1絶縁層77とを含む。図4に第1 絶縁層77をより詳細に示してあり、ゲート酸化膜77Aと熱酸化膜77Bは、 周知のように、別の工程で形成されているが同一物質なので実質上合体している 。望ましくは、第1絶縁層77は更に、APCVD NSG(atmospheric pres sure chemical vapor deposited non-doped silicon glass:大気圧化学蒸着した ノンドープシリコンガラス)層77C、BPSG(borophosphosilicate glass: ボロフォスフォシリケートガラス)層77D、およびSOG(spin-on glass) 層77Eをも含む。また図15において、キャパシタ80は、第1の電極81と 、中間層82と、第2の電極84とを含み、該中間層82は強誘電物質または層 状超格子物質であってよく、この層状超格子物質は強誘電物質であってもなくて もよいが一般には高誘電率物質である。第2の絶縁層86はキャパシタ80上に あり、しばしばメタライゼーション層と呼ばれる配線層88は、活性領域73B を第1電極81に、また活性領域73Aおよび第2電極84を回路の他の部分に 、それぞれ接続している。配線層88は望ましくは多層構造であって、活性領域 73Aおよび73Bに接触している第1層90と、第2層91と、キャパシタ電 極81および84の表面99および97にそれぞれ接触している第3層とを含む 。キャップ層95は望ましくは燐ドープSOGであって、この集積回路の層状構 造を完成させる層である。周知のように、この集積回路100はウェハ上に作製 された後、数百個の各集積回路チップに切断されるが、個々のチップには数千個 または数百万個のセル76が含まれている。その後、各チップはパッケージされ て集積回路完成品となる。 当業者には認識されるはずであるが、従来技術の強誘電物質集積回路チップと は異なり、上記の集積回路完成品100は従来のMOS型DRAMチップと非常 に良く似ていて、MOSトランジスタが BPSG等の酸化物中に深く埋め込まれており、平坦な配線層でモモリセル76 とのコンタクトを取ってある。キャパシタ80は、従来のDRAM集積回路のキ ャパシタのように深くは埋め込まれていないが、強誘電物質キャパシタおよび層 状超格子物質キャパシタは従来のDRAMキャパシタよりも照射損傷に対して耐 久性がはるかに高いので、信頼性が損なわれることはない。 以下により詳細に説明するように、強誘電物質および層状超格子物質以外につ いては、処理プロセスと物質の組み合わせおよび処理プロセスの手順が新規では あるが、ここの処理プロセスと物質はMOS型DRAM技術の分野で当業者が周 知のものである。また図22〜図36に示したデータから、本発明の構造および 方法によれば優れた電子特性を有する集積回路が得られることが分かる。すなわ ち、本発明の構造および方法を用いることにより、既存のMOS製造設備におい て高性能の強誘電物質集積回路および層状超格子物質集積回路を製造することが できる。 上記のとおり、キャパシタ80の中間層82は強誘電物質または層状超格子物 質であってよい。この強誘電物質は、PZTその他層状超格子物質でない物質で あってよい。層状超格子物質としては、タンタル酸ストロンチウムビスマス、ニ オブ酸ストロンチウムビスマス、ニオブ酸ストロンチウムビスマスタンタル、ニ オブ酸鉛ビスマス等の室温で強誘電性である物質、およびタンタル酸バリウムビ スマス、タンタル酸鉛ビスマス、ニオブ酸バリウムビスマス等の室温で強誘電性 でない物質がある。これら層状超格子物質のうちで特に最後の3物質は高誘電率 、すなわち50を超える誘電率、を持つ傾向がある。より一般的に表現すると、 層状超格子物質は、ストロンチウム、カルシウム、バリウム、ビスマス、カドミ ウム、鉛、チタン、タンタル、ハフニウム、タングステン、ニオブ、ジルコニウ ム、ビスマス、スカンジウム、イットリウム、ランタン、アンチモン、クロム、 およびタリウム等の金属の複合酸化物を含む。これら複合酸化物は、層状超格子 すなわち全く別のサブ格子の層が交互に積層した結晶格子を自然に形成している 。一般に、個々の層状超格子物質は上記金属のうち2種以上を含んでおり、例え ば、バリウムとビスマスとニオブで、層状超格子物質であるニオブ酸バリウムビ スマスBaBi2Nb29が形成されている。物質30は誘電性物質、強誘電物 質、または両者であってよい。誘電性物質である場合にはキャパシタ16が誘電 性物質キャパシタになるし、物質30が強誘電物質である場合にはキャパシタ1 6が強誘電物質キャパシタになる。層状超格子物質は最も一般的には次式(1) で一括して表すことができる。 ここで、A1,A2...Aj はペロブスカイト型構造中のAサイト元素、例えばスト ロンチウム、カルシウム、バリウム、ビスマス、鉛等を表し、S1,S2...Sk は超 格子生成元素、すなわち通常はビスマスであるがその他イットリウム、スカンジ ウム、ランタン、アンチモン、クロム、タリウム等の原子価が+3の元素を表し 、B1,B2...B1 はペロブスカイト型構造中のBサイト元素、例えばチタン、タン タル、ハフニウム、タングステン、ニオブ、ジルコニウム等の元素を表し、Qは アニオン、すなわち通常は酸素であるがその他フッ素、塩素の元素またはこれら の合成物、例えばオキシフロライド、オキシクロライド等を表している。式(1 )中の上添字は各元素の原子価を示し、下添字は化合物1モル中のその物質のモ ル数、あるいは単位セルで表示した場合は、単位セル中のその元素の平均原子個 数を示す。下添字は整数または分数である。すなわち、式(1)は単位 セルが材料内で変動する場合を含んでおり、例えばSr.75Ba.25Bi2Ta29は、平均でSrが75%の頻度でAサイト原子でありBaが25%の頻度で Aサイト原子である。化合物中のAサイト元素が1種類のみである場合、それは “Al”元素で表示され、w2...wj は全て0である。化合物中のBサイト元素が1 種類のみである場合、それは“B1”元素で表示され、y2...yl は全て0であり、 そして超格子生成元素についても同様である。通常は、Aサイト元素が1種類、 超格子生成元素が1種類、Bサイト元素が1種類または2種類であるが、本発明 は両サイトおよび超格子生成元素が多種類になり得る場合も含むことを意図して いるので、式(1)はより一般的な形で表示した。zの値は次式から求まる。 (2)(a1w1+a2w2...+ajwj)+(s1x1+s2x2...+skxk)+(b1y1+b2y2...+ bjwj)=2z 本発明による望ましい方法を以下に説明する。方法の説明と併せて、図22〜 26および図28〜36に示した本発明の種々の側面を示すデータについても説 明する。これらの図は図22に示したようなヒステレシス曲線を含む。各ヒステ レシス曲線は、ボルトで表示した負荷電圧と、マイクロクーロン/平方cmで表 示した分極電荷との関係を示す。一般に、数水準の電圧、通常は2ボルト、4ボ ルト、6ボルト、8ボルト、および10ボルト、についてヒステレシスを示した 。何本かのヒステレシス曲線を示した図22のような図においては、一番下の曲 線についてのみスケールを示したが、他も同様である。一般に、分極率2Pr( 単位:マイクロクーロン/cm2)および正と負の抗電界Ec+とEc−を、各 ヒステレシス曲線に併記した。ヒステレシスの測定は、特に断らない限り非補償 Sawyer-Tower回路について行った。分極電荷2Prは、図15の80のようなキ ャパシタを完全にスイッチしたとき生ずる電荷、すな わち一つの向き、例えば図15で上向きに分極した状態から、逆の向き(図15 では下向き)に分極した状態にスイッチしたときに生ずる電荷である。記載した 2Prの値は、特に断らない限り、最高電圧でのヒステレシス測定により得た値 であり、単位はマイクロクーロン/cm2である。2Prの値が大きくなる程、 強誘電物質記憶素子その他ほとんどの用途での物質の性能が向上する。抗電界は 物質を一つの分極状態から他の分極状態へスイッチさせるのに必要な電界の大き さの尺度であり、単位はキロボルト/cmで表示する。負の抗電界Ec−はヒス テレシス曲線がその負側で電界軸(x軸)と交差する点であり、正の抗電界Ec +はヒステレシス曲線がその正側で電界軸と交差する値である。実際の電子デバ イスについては、抗電界は漂遊電界によって分極のスイッチングが起きないよう に十分大きくなくてはならないが、あまり大き過ぎるとデバイスの作動に大きな 電圧が必要になる。図中および説明で用いたその他のパラメータおよび用語につ いては、各図についての説明の際に説明するか、説明の流れから明瞭になるよう にした。 図1〜3において、望ましい方法は、図3の構造を作製する従来のMOSプロ セスの35工程を含んでおり、それ以降の工程36から工程69の幾つかは、図 14の構造が作製される本発明の新規な部分に従来のCMOS完成用工程70を 加えた多段階の工程である。最初の35工程で作製されるCMOS構造は、基板 (望ましくは単結晶シリコン)、活性領域井戸73Aおよび73B(この態様で はNMOS構造の場合にはN+にドープしてある)、フィールド酸化領域75、 ゲート絶縁膜77A(望ましくは二酸化シリコン)、ゲート74(望ましくはポ リシリコンで形成)、および絶縁層77(本発明の新規なプロセスおよび物質に より形成される一番目の絶縁層なので「第1絶縁層」と呼ぶ)を含む。図4に示 したように、 用いた従来のCMOSプロセスにおいては、第1絶縁層75は、トランジスタ7 2上の熱酸化層77B、この熱酸化層77B上のAPCVDNSG77C、およ びこのAPCVDNSG77C上のBPSGの層77Dを含む。図22の曲線( a)に示したように、従来技術の教示により白金/チタン電極を用いた場合は分 極率2Prが18.61である。これは、CMOSプロセス無しで行った試験キ ャパシタで得られた24〜25よりもかなり低い。更に、かなりの処理工程が残 されているので、集積回路完成品が作製されるときまでには分極率が更に低下す ると予測される。図22の曲線(b)に示されるように、Pt/Ti電極は他の 表面についても一様に悪い結果をもたらす。熱酸化SiO2上の白金単体の電極 は良好な結果をもたらしたが、熱酸化SiO2は従来のCMOSプロセスとは相 容れない。従来のBPSG層上の白金も良好な結果は得られなかった。明らかに BPSGの場合は結果に何らかの劣化が生じた。その理由は恐らく、従来技術に おいて報告されているガリウム砒素のガス放出と同様に、アニール中にBPSG 中の燐とボロンのガスが放出されたためである。しかし、この劣化は恐らくアニ ール中のBPSGの収縮によるものである。その対策として、BPSGをSOG の薄い層で被覆すればBPSGの収縮に対するバッファになるはずであるし、ま た図22の(e)に示したように、これが正しいことが実証されたばかりでなく 、SOGが熱酸化層の結果についても分極率を高めた。市販のSOGは非常に種 類が多く、例えばAllied Chemical から市販されているACCUGLASS11 1(登録商標)のようなシリケート系SOGや、同じくAllied Chemical から市 販されているACCUGLASSP114(登録商標)のようなフォスフォシリ ート系SOGがある。ACCUGLASS111(登録商標)のようなシリケー ト系SOGを用いると、バッファ層77Eにつ いてのデータが最良の結果になることが分かった。111(登録商標)は、約1 0重量%の−CH3を有するメチルシロキサンポリマーを含む。厚さが約100 0Åのときに良好な結果、24.58の2Prが得られる。この結果は層が20 00Åのときに僅かに減少し、これは小さな変化ではあるがサンプル間のばらつ きの原因になる。しかし、3000Åのときには減少が無視できない。したがっ て、約1000ÅのSOG層77Eが望ましい。 以上に基づいて、本発明の望ましい方法では、酸素中、800℃、30分のプ リベークをウェハに施した後、1500rpm、30秒でのスピンオングラス塗 布を、望ましくは1回のコーティングで厚さ約1000Åで行う。このSOGを 、炉内で窒素中、450℃、60分そして酸素中、800℃、30分のベーキン グを行う「多段階アニール」により乾燥および硬化させる。以下に詳細に説明す るように、多段階アニール処理においては、温度を乾燥、硬化、およびベーク処 理において望ましくは3段階以上で昇降させるので、記載した各温度および各時 間は各処理における最高温度およびその温度での時間である。次に、第1金属堆 積工程40においてスパッタにより白金を2000Å堆積させて第1電極81を 形成する(図5)。次に、第1金属層のアニールを800℃で30分行う。この アニールは任意であるが、望ましい態様に含まれる。 種々のアニール温度で白金/チタン電極を試験した結果、pt/Ti電極の性 能が低い原因は、PtTixの突起の形成により白金層の表面が粗くなることで あることが分かった。白金のスパッタ前にチタンをTiをアニールすると突起が かなり減少することが分かった。このように、白金を堆積させる前にチタンをア ニールするのであれば、従来のPt/Ti第1電極81を用いることが考えられ る。 図5〜10および図1において、次の処理工程として、中間層82を堆積させ る。中間層82は、強誘電物質特に層状超格子強誘電物質の1種でもよいし、強 誘電性ではない層状超格子高誘電率物質でもよい。強誘電性層状超格子物質のほ とんどは高誘電率をも持っていることは着目される。層82は、ここで参考とし て引用する米国特許出願第07/981,133号および第08/065,656号に記載されている 方法で形成することが望ましい。その実施例の全てにおいて、選択した物質は前 駆体溶液中に10%過剰のビスマスを含有させたタンタル酸ストロンチウムビス マス(SrTi2Ta29)であった。この前駆体溶液を、ウェハに1500R PMで30秒間スピンコートする。次に、第2金属層の堆積工程24において、 スパッタにより白金を2000Å堆積させて第2電極84(図5)を形成する。 次に、第2金属層のフォトマスク工程と第2金属層のエッチング工程を行う。こ れは、従来のレジスト堆積、フォトマスク、およびイオンエッチングの後にレジ スト除去によって行う。図8に示したように、このイオンエッチングは層82内 にある程度進行する。次に、望ましいが任意であるアニールを酸素中で800℃ で30分間行う。このアニールは、強誘電物質層または層状超格子物質層82の 堆積後2番目のアニールなので第2アニールと呼ぶ。図9および10に示した態 様においては、次の工程として、フォトマスクとエッチングにより第1電極81 をパターニングし、レジストを除去し、フォトマスクおよびエッチングにより中 間層82をパターニングし、またレジストを除去する。あるいは、層82をパタ ーニングした後に第1電極のパターニングを行ってもよい。もしくは、第1電極 をパターニングし、第1電極をパターニングし、そして層82をパターニングし てもよい。 図19〜21に、キャパシタのパターニングの別の態様を示す。 この方法では、第2電極184を図19のようにパターニングし、第2アニール を行い、第1電極181と中間層182の両端182Aおよび182Bとをパタ ーニングし、次に層182の部分191と延長部192との間の層182内にビ ア193を形成する。この態様において、キャパシタ180はCMOSトランジ スタが右にある場合を想定して延長部192を設け右の部分191へ向いた方位 にしてあるのは、図3〜18に示した以外の方位でトランジスタおよびキャパシ タが種々の配置をとった場合も考慮していることを示すためである。この態様に おいては、配線層とキャパシタ180とのコンタクトは、ビア193で第2絶縁 層を貫通して第1電極181に達するコンタクトホールを通して行われる。ビア 193を設けたこの態様は、層182の延長部192が電極184と181との 短絡を防止するので、一般的に望ましい。この場合にも電極184および181 および層182のパターニングは種々の順序で行うことができる。図1に示した 望ましい態様においては、第2電極184をパターニングし、ビア193を形成 した後、中間層182の両端182Aおよび183Bと第1電極とを一緒にパタ ーニングする。この場合も、パターニングは全て従来のレジスト堆積、フォトマ スク、およびエッチングを行った後に、イオンエッチングおよびレジスト除去す ることにより行う。図11において、第2絶縁層86は、キャパシタ80のパタ ーニング後に堆積させる。その際、キャパシタと各配線層との間の絶縁層の形成 に、従来の強誘電物質およびMOSプロセスにおけるCVDフォスフォシリケー トグラスのような物質を用いると、層状超格子物質の強誘電性能が低下し易い。 そこで、第1絶縁層で成功し、優れた結果が得られることが分かっているSOG が候補に挙げられる。しかしこの場合には、Allied Chemical から市販されてい るACCUGLASS P114A(登 録商標)のようなフォスフォシリケートグラスが望ましいが、ACCUGLAS S111(登録商標)のようなシリケート系SOGでもよい。前記のように、1 11(登録商標)材料は約10重量%の−CH3を含有するメチルシロキサンポ リマーを含む。シリケート系SOGは誘電性、密度、硬化時の低収縮性が優れて おり、スピン処理時の取扱い性も良い。フォスフォシリーケートグラス系のP1 14A(登録商標)は5重量%のP25を添加したシリケートを含む。したがっ て、フォスフォシリーケートグラスのスピンオンプロセスは、外囲の湿気を避け るように注意して設計しなければならず、スピン中の相対湿度を40%±5%に 維持すべきである。しかし、これが可能ならば、フォスフォシリーケートは42 5℃より高温で硬化させると膜の密度が向上するし、この高温によりその誘電特 性も向上し、同時にこの高温でシロキサンのメチル基がほとんど硬化を生じない シアノール基と置換されて失われるから、フォスフォシリーケートは望ましい。 アニール温度800℃では、P114A(登録商標)の収縮は111(登録商標 )に近い。更に、フォスフォシリーケートのエッチレートは従来のCVD酸化物 と同様であり、Naゲッターとしての容量も従来のPドープ酸化膜とほぼ等しい 。シロキサン膜は、ビアまたはコンタクトマスクを介してO2プラズマエッチン グによるレジスト除去時に酸化し易い。この酸化があるとシロキサン膜は多孔質 の吸湿性材料に変化し、その結果水分が放出され汚染の問題を生ずる原因になる 。更に、基板上にダストが存在するとこれが111(登録商標)膜の亀裂発生の 引き金になることが分かった。フォスフォシリーケートにはこれらの問題がない 。本発明の方法により第2絶縁層を堆積させる条件下ではフォスフォシリーケー トはシロキサンよりも亀裂発生が少ないことが分かった。 フォスフォシリーケートグラスを、塗布1回当たり1500RPM、30秒の スピンコートにより塗布し(3回塗布が望ましい)、約3000Åの厚さにする 。このSOGを乾燥、硬化、N2中450℃、60分の硬化処理を含む多段階ア ニールでベーク、そしてO2中で800℃、30分のハードベークを行う。特に 重要なことは、ハードベークを窒素中で行ったサンプルの少なくとも幾つかは剥 離が発生したので、ハードベークは酸素中で行うことである。この場合にも、以 下で詳細に説明するように、硬化処理およびハードベーク処理においては温度を 3段階以上に昇降させることが望ましい。 再び図11に着目すると、第2絶縁層86を形成した後に、レジスト層103 を堆積させ、従来のフォトマスクプロセスを行う。次に、第1コンタクトホール のエッチングを、1HF(49%)+6NH4F(40%)で3分間行う。この 「ソフト」酸化膜エッチングは、第2絶縁層のSOGと第1絶縁層のSOGおよ びBPSGとを貫通し、白金電極81および84上とAPCVD NSG77C (図4)上で停止する。レジスト103を除去した後、酸素中で800℃、30 分のハードベークを含む第3の多段階アニールを行う。このアニールの際の温度 は以下に説明するように段階的に昇降させる。 第2絶縁層形成中のこの第3のアニールはハードベーク工程57と組み合わせ てもよい。すなわち、ハードベーク工程57を省略し第3アニール工程61をそ の代わりに行う。あるいは、第3アニール工程61をハードベーク工程57と同 時に行ってもよい。しかし、望ましい態様においては、これらの工程を両方とも 行い、第3アニール工程は2回のコンタクトホールエッチングの間に行う。この 工程をどのようなタイミングで行うとしても、600℃〜800℃ の範囲内の高温でのアニールを第2絶縁層の堆積工程と配線層の堆積工程との間 で行うことが重要である。工程1〜35で作製したMOS構造の問題を生じさせ ないために、このアニールは、コンタクトホールが完全に形成された後、すなわ ち以下に述べる第2エッチングの後に行わないことが望ましい。第3アニールは 窒素中、酸素中、または窒素と酸素の混合ガス中で行ってよいが、酸素中が望ま しい。この場合にも、以下に説明するように、温度は3段階以上で昇降させるこ とが望ましい。図23は、第3アニールを行わなかった処理プロセスの種々の時 点で測定した4つのヒステレシス曲線の組を、図24は第3アニールを行った処 理プロセスの上記と同様な時点で測定した4つのヒステレシス曲線の組を示す。 図24を見ると、2PRの値は処理プロセスを通して一貫して低下しており、1 4.92マイクロクーロン/cm2から第4アニール後の10.30マイクロク ーロン/cm2になっている。図25を見ると、2PRは最初は同じく第2アニ ール後の14.92マイクロクーロン/cm2であり、やはり第2絶縁膜86の SOG被覆後に13.22マイクロクーロン/cm2に低下し、次に第3アニー ル後の14.88マイクロクーロン/cm2まで上昇し、そして最後に第4アニ ール後の14.66マイクロクーロン/cm2になっており、この最終値は同じ 処理プロセスで第3アニール無しのときよりも4.3マイクロクーロン/cm2 だけ高い。 図12において、第3アニール工程61の後、もう一つのレジスト層112を 堆積させ、またコンタクトホールのフォトマスク工程を行う。図示した態様にお いては、マスク内のコンタクトホールのサイズは、レジスト112内の110の ような孔の幅によって決定される得るものであって、図11のコンタクトホール のエッチングに用いたマスク内の孔のサイズと同じであるが、このマスクにより レジスト内に開けられるのはコンタクトホール105および106のみである。 あるいは、第2のコンタクトホール用マスクの孔のサイズは第1マスクの孔より も小さくてもよい。レジスト内に孔110を開けた後、1HF(49%)+6N H4F(40%)中で7分間のエッチングを行うことによりシリコン基板71上 の活性領域73Aおよび73Bに達するコンタクトホール105および106を 掘り下げる。この第2エッチングは、「硬い」酸化層77C、77B、および7 7A(図4)を貫通して進行する。この望ましい態様においては、この第2コン タクトエッチングは、96HNO3(60%)+3CH3COOH(99.7%) +1HF(49%)+100H2O中で20秒の短時間シリコンエッチングも含 む。このエッチングは、良好な電子特性を得るために重要である。図25には、 シリコンエッチング無しで作製したデバイスについてゲート電圧2ボルト、3ボ ルト、4ボルトおよび5ボルトの場合のドレイン電流をドレイン電圧の関数とし て示し、図26には、シリコンエッチングを行って作製した同じデバイスについ て同様の曲線を示す。ドレイン電圧に対するドレイン電流の応答は、シリコンエ ッチングにより約50%向上している。 上記第1および第2のエッチングを一括して一回でコンタクトエッチングを行 うことも可能であるが、一回でエッチングするとコンタクトホールは鉛直に対し て外向きのテーパ角度が非常に大きくなる。それによって処理プロセス上の許容 誤差が厳しくなり、配線金属と他の導電ラインとの短絡の可能性が高くなる。し たがって多段階エッチングが非常に望ましい。 第2コンタクトエッチングの後、レジスト112を除去してから、自然酸化膜 エッチングとして第3コンタクトエッチングを行うことが望ましい。このエッチ ングにより、配線層を堆積する直前に、 シリコン表面の自然酸化膜を除去する。エッチング液としては1HF(49%) +249H2Oが望ましく、エッチング時間は2分が望ましい。この自然酸化膜 の第3コンタクトエッチングはMOSデバイスの良好な電子特性を得るために重 要である。 金属配線層88は、この処理プロセスで堆積させる3番目の金属層なので第3 メタライゼーション層と呼び、上記の自然酸化膜エッチングの後、堆積させる。 この工程66は白金のような金属を1層堆積させてもよいが、望ましい態様にお いては図14に最もよく示されるように、厚さ約500Åの白金の第1配線層8 9と、厚さ約500Åのチタンの第2配線層91と、厚さ約4000Åの白金の 第3配線層93というように3層の金属層を別個に堆積させることが望ましい。 この堆積はスパッタにより行うことが望ましい。以下に説明するように、チタン 層91は白金シリサイド層90(図15)の深さを制御するバリア層として作用 する。白金シリサイド層90は、活性領域73Aおよび73Bと配線層88との 間のオーミックコンタクトを形成する。図13において、望ましい態様において 、第3メタライゼーション処理66は厚さ約500Åの白金の層89を堆積させ る第1配線層堆積工程を含む。それに次いで、以下に説明するMOSアニール工 程66Bを行ってもよい。次に、同じく厚さ約500Åのチタンの第2配線層を 堆積させた後(図14)、第3配線層93として望ましくは厚さ約4000Åの チタンの層を堆積させる。図15において、第3の金属層用レジスト層を堆積さ せ、従来のフォトマスクおよびイオンエッチングを行ってコンタクト部をパター ニングし、そしてレジストを除去する。得られた配線層88は3つの層90、9 1、93を含み、部分115および116はそれぞれ活性領域73Aおよび73 B上にあり、部分118は第1電極81の表面99上にあり、部分119は第2 電極84の表 面97上にあり、部分117は部分116と118とを接続している。部分11 5および116は第2絶縁層86および第1絶縁層77を貫通してそれぞれ活性 領域73Aおよび73Bに達しており、部分117は第2絶縁層86上にあり、 部分118および119は第2絶縁層86を貫通してそれぞれ第1電極81のコ ンタクト表面99および第2電極の表面97に達している。部分116と118 は部分117によって電気的に接続されており、それにより活性領域73Bを第 1電極81の表面99と電気的に接続している。他の態様として、キャパシタ8 0は両方向のいずれかの電界または電圧を負荷されて作動するので、活性領域7 3Bは第2電極84に接続されていてもよい。 図2および図16〜18に、多層配線層288と第2絶縁層86との接合力を 強化する本発明の別の態様を示す。この態様においては、第3メタライゼーショ ン層288を形成するまでの工程および構造は全て図3〜13を参照して説明し た前記の態様におけるのと同じであるので、それらに関する参照符号はそのまま 用いる。この態様の処理プロセスを図2に示す。前記のプロセスと同じく、この プロセスも最初の工程は第1配線層堆積工程66Aであり、厚さ約500Åの白 金の層を堆積させる。この層は図13に89として示した。次に、前記し以下に も説明するが、任意のMOSアニール工程66Bを行う。これは図2に示してあ る。この別の配線層プロセスにおいて、次は、ネガ型レジストによる第2コンタ クトエッチングと同じマスクを用いて第1配線層フォトマスク工程であり、その 次に第1配線層エッチング66Dを行い、最初の第1配線層89のうち小さな部 分289のみをコンタクトホール105および106の底部に残す(図16A) 。次にレジスト除去工程66Eを行う。次いで、やはり厚さ約500Åのチタン の第2配線層291を堆積 させる(図16B)。次いで、第2配線層のフォトマスク工程を行う(図16C )。これには、第1コンタクトエッチングと同じ孔サイズのマスクと、ポジ型レ ジストとを用いることが望ましいが、キャパシタ80の開孔107および108 を再開口する。次に、工程66Hで第2配線層291を、望ましくはイオンエッ チングにより、エッチングする。マスク孔は同一サイズではあるが、始めに工程 59で孔を開口させた湿式エッチングではレジスト下がオーバーエッチングされ 、開口される孔の半径がマスク孔の半径よりも「d」だけ大きくなる(図16C )のに対し、イオンエッチングならマスクパターンにほぼ忠実にエッチングされ (図中直径「c」で示す)、オーバーエッチ領域を薄いチタン層113が充填す る形になる。したがってこの技術によれば、チタン中間層291が、SOG層8 6と白金層293(図17)との間の領域を完全に覆った状態が得られる。工程 661では、レジストを除去した後、厚さ約4000Åの白金の第3配線層29 3を堆積させる(図17)。図18において、第3の金属用レジスト層を堆積さ せ、従来のフォトマスクおよびイオンエッチングを行ってコンタクトをパターニ ングし、そしてレジストを除去する。得られた配線層288は、活性領域73A および73B上の部分215および216では3つの層290、291、293 を、第1電極81の表面99上の部分218では1つの層293を、第2電極8 4の表面97上の部分219では一つの層293を、そして部分216と218 を接続する部分217では2つの層291、293を、それぞれ含む。部分21 5および216は第2絶縁層86および第1絶縁層77を貫通してそれぞれ活性 領域73Aおよび73Bに達し、部分217は第2絶縁層86上にあり、部分2 18および219は第2絶縁層86を貫通してそれぞれ第1電極81のコンタク ト表面99および第2電極の表面97に 達する。部分216および218は部分217により電気的に接続され、それに より活性領域73Bを第1電極81の表面99に接続している。配線層288と 第2絶縁層86の間のコンタクト領域全体にわたって第2絶縁層86の全表面と チタン層291が接触していることにより、配線層288と第2絶縁層86との 接合力が強化される。 配線層88および288を完成した後に、最終アニールを行う。この最終アニ ールは窒素中、425℃、30分で行うことが望ましい。このアニールによって 、白金の第1金属層88および289が基板71と接触する部分でシリコンと結 合して白金シリサイドを形成する。この白金シリサイド層90および290は優 れたオーミックコンタクトとなる。図30に示したように、コンタクト抵抗は平 坦であり、電圧によってほとんど変化せず、電流が電圧の線型関数になっている 。しかし、白金シリサイド層90および290の深さは、MOSトランジスタの 拡散深さを考慮して注意深く制御し、トランジスタの電子的パラメータが低下し ないようにする必要がある。第4アニールの温度および時間に対する白金シリサ イド層90の深さの依存性を図27〜29に示す。図27はパラメータ「a」お よび「b」を定義するもので、「a」は最初の白金層89の厚さであって、白金 から白金シリサイドへの変換で大きな体積変化は無いと仮定すると、「a」は、 白金89の全部が白金シリサイド90に変換したときにシリサイド90がシリコ ン基板71の表面71Aから上方へ拡張した距離であり、「b」は白金シリサイ ド層90が表面71Aから下方へ拡張した距離である。図28に示したように、 300℃未満では白金シリサイドは全く形成されず、300℃を超えると白金シ リサイド90の厚さは温度と伴に急速に増大し、そして約350℃になるとb/ (a+b)が一定になり、白金の全部が 白金シリサイドに変換したこと、すなわち白金シリサイド層90の厚さも一定の はずであることを示している。チタン層91は白金シリサイド層の成長を停止さ せるバリアとして作用する。図29において、一定温度425℃において、白金 シリサイド層90の厚さは時間と伴に増大しており、約10分以降は一定になっ ている。この場合にも、チタン層91および291は白金シリサイド層の成長を 停止するバリアメタルとして作用している。約600℃の最終アニール温度では 配線層の金属色が失われて金属の劣化していることを示し、800℃のアニール 温度では白金/チタン/白金の構造が壊れ、チタンはバリアとして作用しなくな る。上記から、最終すなわち第4アニールは350℃〜600℃とすべきである 。 図31に、タンタル酸ストロンチウムビスマスの第3アニール後のサンプル1 個と、その後に種々のガス中で第4アニールを行ったサンプル3個とについて、 ヒステレシス曲線および2PR、Ec+、Ec−の値を示す。結果はガスによっ て多少の差があり、窒素中に5%H2ガスを添加した混合ガスの結果が最良であ り、窒素ガスが最悪である。ただしその差は大きくはない。 図15および18において、第4アニール後に、配線層を平坦化し、キャップ 層95(図15)および195(図18)として望ましくは燐ドープSOGを堆 積させる。次に、従来のプロセス工程により集積回路ウェハを切断し、パッケー ジして従来のリード等を持つ集積回路チップにする。 以上の説明において、硬化処理中、ハードベーク中、およびアニール中に温度 を段階的に昇降させることを繰り返し述べた。層状超格子デバイスを作製するプ ロセスは最初に単純なキャパシタから始まり、被覆されたキャパシタへ、そして MOSプロセスへと発展したので、多段階温度昇降プロセスの必要性がより大き くなることが 分かった。例えば表1を参照すると、被覆されたキャパシタの作製までプロセス を発展させた場合には、BPSG上のSOGを先ず乾燥させた際に3段階の乾燥 処理として100℃で2分間、次に160℃で2分間、そして250℃で2分間 の乾燥を行い、硬化処理は省略し、次にハードベークを酸素中において700℃ で30分間行った。これによって何の問題も無いSOG層が形成されることが分 かった。次に、短時間の1段階アニールを試験し、ハードベークを酸素中におい て800℃で30分間行った。その結果得られたSOGには気泡と亀裂があった 。そこで次に、プロセスを「低速化」し、硬化処理をN2中において425℃で 60分間行った後、ハードベークをO2中において800℃で30分間行った。 これにより何の問題も無いSOGが得られた。しかし、同じ「アニール」処理を CMOSトランジスタを備えた基板で試験してみると、得られたSOGには亀裂 があった。ベークをN2中において800℃で30分間行うと結果は更に悪化し 、亀裂と剥離が生じた。次に、表1の下から2段目のプロセスを行った。このプ ロセスは、3段階の乾燥工程で温度を段々高くして行い、3段階の硬化処理工程 で350℃から450℃に昇温させた後に再び350℃に降温させ、次に6段階 のハードベーク工程で450℃から600℃までと800℃まで3段階で昇温し た後に3段階で300℃まで降温させた。これにより良好な結果が得られたので 、プロセスを「高速化」し、硬化処理およびハードベークの両工程において昇温 および高温の各時間を15分から10分に短縮した。それでも良好な結果が得ら れた。実際には更に多数の試みにより多数の解析結果が得られたが、煩雑になる のでここでは省く。結局、上記で多段階アニール処理と言っている場合には、表 1の最下段に示したような処理を用いた。 上記した本発明による方法により、図25、26および30に関連して説明し たように優れたCMOS特性を有する強誘電物質デバイスおよび層状超格子物質 デバイスが製造される。図32および33に、本発明により、10%過剰のビス マスを含有させた前駆体溶液を用いて中間層82に強誘電性層状超格子物質であ るタンタル酸ストロンチウムビスマス(SrBi2Ta29)を用いて作製した デバイスの典型的な強誘電性能を示す。図32には、2ボルト、4ボルト、6ボ ルト、8ボルトおよび10ボルトで測定したヒステレシス曲線を重ね合わせて示 した。図示したように、ヒステレシス曲線同士がほぼぴったり重なっており、広 い範囲の電圧に対して性能が安定していることを示している。また曲線が縦形で 箱形をしており、記憶素子等の用途に優れた適性があることを示している。図3 3には、図32のサンプルにスイッチング電界300KV/cmを負荷した場合 のスイチングサイクル数に対する2Prをプロットしてある。これは多くの場合 、材料の耐久性曲線もしくは「疲労」曲線と呼ばれる。従来技術の強誘電物質デ バイスにおいては、概ね数億回のスイッチングサイクルで分極率が50以上低下 し、このことが材料疲労として知られるようになった。1サイクルは、キャパシ タ(例えば80)を方形パルスによりスイッチングしたものとして定義する。図 33の曲線は、1010サイクル近くまで疲労がほとんど生じないことを示してい る。 上記方法の変更態様においては、第3金属(配線層88)の体積プロセスであ る工程66に付加的なアニール工程66Bを加えても良い。既に説明したように 、工程66は3つの別個の金属層を堆積させるプロセスであることが望ましい。 この変更態様においては、白金の第1層を堆積させた後に、ウェハをN2+5% H2中において450℃で120分間アニールする。この付加的アニールは、前 述の白金シリサイド90を生成させる望ましい温度で、CMOS部品に問題を生 じるような高温ではない温度で行う。また、少量のH2が存在することによって 、MOSデバイス72は、層状超格子物質キャパシタ形成プロセス以前に持って いた最初の特性に実質的に戻る。図34および35に示したように、PMOSデ バイス、NMOSデバイスは共に良好な特性を示している。同じデバイスについ て4水準のゲート電圧でのドレイン電流とドレイン電圧との関係を図30に示す 。ゲート電圧5ボルトでは、ドレイン電流は飽和時に1ミリアンペアであり、そ して飽和前には直線的に上昇しており、ゲート電圧が低いところでも同様に優れ ている。図35はNMOSデバイスについて同様の曲線を示す。これらの結果は 更に良好であり、ゲート電圧5ボルトについてドレイン電流は3ミリアンペアよ り大きい。変更態様の方法により、10%過剰のビスマスを含む前駆体を用い、 第1メタライゼーション層の堆積後にアニール工程66Bを行って作製したタン タル酸ストロンチウムビスマス(SrBi2Ti29)デバイスについてのヒス テレシス曲線を図36に示す。第2アニール後に測定したヒステレシス曲線は、 2Prが24.10マイクロクーロン/cm2である。全処理プロセス後でも、 2Prは22.63マイクロクーロン/cm2である。疲労の結果もこれに対応 して良好であった。このように、全CMOSプロセス後の層状超格子物質デバイ スの強誘電特性も良好である。 現実のプロセスの例として、図34および36に示した結果を得たサンプルの 完成プロセスを表2に示す。 以上、層状超格子物質を用いた強誘電物質記憶素子または高誘電率DRAMを 作製するのに用いることができる他、種々の利点をも有する新規な集積回路の製 造方法および構造を説明した。当業者が本発明の考え方を逸脱することなく個々 の態様を種々に用いまたは変更できることは明らかである。例えば、強誘電物質 または層状超格子物質をCMOSデバイス内に組み入れることが可能であろうし 、同じ新規な考え方をバイポーラその他の集積回路に応用することができる。記 載した工程を場合によって異なる順序で行ってもよいこともまた明らかである。 あるいは、同等の構造および工程により記載された種々の構造および工程に置き 換えてもよい。種々の異なる寸法および物質を用いてもよい。付加的な構造およ び工程を加えてもよい。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI H01L 27/04 27/10 451 7735−4M (31)優先権主張番号 08/065,656 (32)優先日 1993年5月21日 (33)優先権主張国 米国(US) (31)優先権主張番号 08/065,666 (32)優先日 1993年5月21日 (33)優先権主張国 米国(US) (81)指定国 EP(AT,BE,CH,DE, DK,ES,FR,GB,GR,IE,IT,LU,M C,NL,PT,SE),CA,DE,JP,KR (72)発明者 パス デ アラーホ,カルロス エー. アメリカ合衆国,コロラド 80909,コロ ラド スプリングス,イースト サンバー ド クリフス レーン 215 (72)発明者 平出 修三 アメリカ合衆国,コロラド 80918,コロ ラド スプリングス,#1178,ツイン オ ークス ドライブ 6220 (72)発明者 マクミラン,ラリー ディー. アメリカ合衆国,コロラド 80909,コロ ラド スプリングス,ロッチ ロマンド レーン 4255 (72)発明者 三原 孝士 埼玉県入間市新久943―19

Claims (1)

  1. 【特許請求の範囲】 1.半導体シリコン基板(71)、該基板(71)上に形成されたトランジス タ(72)であってソース/ドレイン活性領域(73B)を含むトランジスタ( 72)、該トランジスタ(72)上にある第1絶縁層(77)、第1電極(81 )と中間層(82)と第2電極(84)とを含むキャパシタ(80)であって該 第1電極が該中間層(82)に近接した第1表面(99)を有するキャパシタ( 80)、該トランジスタ(72)および該キャパシタ(80)の両方の上にある 第2絶縁層(86)、および配線層(88)(288)を含んで成る集積回路( 100)において、 該中間層(82)は層状超格子物質を含み、 該配線層(88)(288)は、該第2絶縁層(86)および該第1絶縁層( 77)を貫通して該活性領域(73B)に達する第1部分(116)(216) と、該第2絶縁層(86)上にある第2部分(117)(217)と、該第2絶 縁層(86)を貫通して該該第2電極(84)および第1電極(81)の該第1 表面(99)に接触する第3部分(118)(218)とを含み、該配線層(8 8)(288)の該第1、第2、第3部分が電気的に接続されていることにより 該活性領域(73B)が該第2電極(84)および該第1電極(81)の該第1 表面(99)と電気的に接続されていることを特徴とする集積回路(100)。 2.該配線層(88)(288)が、第1金属を含む第1層(90)(290 )と、第2金属を含む第2層(91)(291)と、白金を含む第3層(93) (293)とを更に含み、該第1層(90)(290)は該配線層(88)(2 88)が接触している該活性領域(73B)に近接していることを特徴とする請 求項1記載の 集積回路(100)。 3.絶縁層(77)、該絶縁層上(77)上のキャパシタ(80)であって第 1電極(81)と中間層(82)と第2電極(84)とを含むキャパシタ(80 )を含む集積回路(100)において、 該中間層(82)が層状超格子物質を含み、該絶縁層(77)がBPSGの層 (77D)とSOGの層(77E)とを含み、該SOGは該BPSGと該第1電 極(81)との間にある ことを特徴とする集積回路(100)。 4.該キャパシタ(80)上のスピンオングラスを含む第2絶縁体(86)を 更に含むことを特徴とする請求項3記載の集積回路(100)。 5.該集積回路は、該基板(71)または(77)に直接隣接した白金を含む 層(190)(290)、または(81)を含むことを特徴とする請求項1、2 、3または4記載の集積回路。 6.下記の工程、 シリコンを含む基板(71)を準備する工程、 該基板(71)上にトランジスタ(72)を形成する工程であって、該トラン ジスタ(72)が活性領域(73B)を含む工程、 該トランジスタ(72)上の第1絶縁層(77)を形成する工程、 該第1絶縁層(77)上にキャパシタ(80)を形成する工程であって、該キ ャパシタ(80)が第1電極(81)と該第1電極(81)上の中間層(82) と該中間層上の第2電極(84)とを含む工程、 該キャパシタ(80)上の第2絶縁層(86)を形成する工程、 該活性領域(73B)に達し、該第1電極(81)および該第2電極(84) の少なくとも一方に達するコンタクトホール(106 )(107)を形成する工程、および 該活性領域(73B)と該第1電極(81)または該第2電極(84)とに接 触する配線層(88)(288)を形成する工程を含んで成る集積回路(100 )の製造方法において、 該中間層(82)が層状超格子物質を含み、 該第2絶縁層(86)の形成工程と、配線層(88)(288)の形成工程の 完了との間に、アニール工程を更に含む ことを特徴とする集積回路(100)の製造方法。 7.該配線層(88)(288)の形成工程が、 該コンタクトホール(106)(107)の少なくとも一つに第1配線金属層 (89)(289)を形成する工程、 該集積回路(100)をアニールすることにより該トランジスタ(72)の電 子特性を向上させる工程、および 該コンタクトホール(106)(107)の一つ以上に第2配線金属層(91 )(291)を形成することにより該トランジスタ(72)を該キャパシタ(8 0)に接続する工程 を含んで成ることを特徴とする請求項6記載の集積回路(100)の製造方法。 8.該第2絶縁層(86)の形成工程が、 該キャパシタ(80)上を覆う絶縁体の層をスピニングする工程、および 該絶縁体を3段階以上の加熱段階で処理することにより該キャパシタを覆う固 体絶縁層(86)を形成する工程であって、各加熱段階の温度がそれ以前の加熱 段階よりも高温である工程 を含む請求項6または7記載の集積回路(100)の製造方法。 9.下記の工程、 基板(71)を準備する工程、 該基板(71)上にトランジスタ(72)を形成する工程であって、該トラン ジスタ(72)が活性領域(73B)を含む工程、 該トランジスタ(72)上の第1絶縁層(77)を形成する工程、 該第1絶縁層(77)上にキャパシタ(80)を形成する工程であって、該キ ャパシタ(80)が第1電極(81)と該第1電極(81)上の中間層(82) と該中間層(84)上の第2電極(84)とを含む工程、および 該キャパシタ(80)上の第2絶縁層(86)を形成する工程、 を含んで成る集積回路(100)の製造方法において、 該中間層(82)が層状超格子物質を含み、 該方法が下記の工程、 第1マスクパターンを介して第1エッチングを行うことにより該第1電極(8 1)および該第2電極(84)の少なくとも一方に達するコンタクトホール(1 07)を形成する工程、 第2エッチングパターンを介して第2エッチングを行うことにより該活性領域 (73B)に達するコンタクトホール(106)を形成する工程、および 該活性領域(73B)と、該第1電極(81)または該第2電極(84)にい ずれか一方とに接触する配線層(88)(288)を形成する工程、 を更に含んで成ることを特徴とする集積回路(100)の製造方法。 10.該基板(71)がシリコンを含み、該方法は、該第1絶縁層(77)が BPSGおよびSOGを含む群からの材料を含み、該第1電極(81)が実質的 に白金から成り、該第2絶縁層(86)がSOGを含み、該配線層(88)(2 88)が白金を含むことを 特徴とする請求項6、7、8、または9記載の集積回路(100)の製造方法。 11.該第1エッチング工程と該第2エッチング工程との間に、該集積回路( 100)をベークまたはアニールする工程を行うことを特徴とする請求項9また は10記載の集積回路(100)の製造方法。
JP6511186A 1992-10-23 1993-10-21 層状の超格子物質を有する集積回路およびその製造方法 Ceased JPH08502859A (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US96519092A 1992-10-23 1992-10-23
US07/981,133 US5423285A (en) 1991-02-25 1992-11-24 Process for fabricating materials for ferroelectric, high dielectric constant, and integrated circuit applications
US08/065,666 US5468684A (en) 1991-12-13 1993-05-21 Integrated circuit with layered superlattice material and method of fabricating same
US08/065,656 US5434102A (en) 1991-02-25 1993-05-21 Process for fabricating layered superlattice materials and making electronic devices including same
US07/965,190 1993-05-21
US08/065,666 1993-05-21
US07/981,133 1993-05-21
US08/065,656 1993-05-21
PCT/US1993/010127 WO1994010704A1 (en) 1992-10-23 1993-10-21 Integrated circuit with layered superlattice material and method of fabricating same

Publications (1)

Publication Number Publication Date
JPH08502859A true JPH08502859A (ja) 1996-03-26

Family

ID=27490504

Family Applications (2)

Application Number Title Priority Date Filing Date
JP6511140A Pending JPH08502628A (ja) 1992-10-23 1993-10-21 層状超格子物質を作製し、それを含む電子デバイスを作製する方法
JP6511186A Ceased JPH08502859A (ja) 1992-10-23 1993-10-21 層状の超格子物質を有する集積回路およびその製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP6511140A Pending JPH08502628A (ja) 1992-10-23 1993-10-21 層状超格子物質を作製し、それを含む電子デバイスを作製する方法

Country Status (6)

Country Link
EP (1) EP0665981B1 (ja)
JP (2) JPH08502628A (ja)
KR (2) KR100442543B1 (ja)
CA (1) CA2145879A1 (ja)
DE (2) DE69331743T2 (ja)
WO (2) WO1994010704A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579753B2 (en) 2000-09-21 2003-06-17 Oki Electric Industry Co., Ltd. Method of fabricating a semiconductor storage device having a transistor unit and a ferroelectric capacitor

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5508226A (en) * 1991-12-13 1996-04-16 Symetrix Corporation Low temperature process for fabricating layered superlattice materialsand making electronic devices including same
US6133050A (en) * 1992-10-23 2000-10-17 Symetrix Corporation UV radiation process for making electronic devices having low-leakage-current and low-polarization fatigue
US5426075A (en) * 1994-06-15 1995-06-20 Ramtron International Corporation Method of manufacturing ferroelectric bismuth layered oxides
JP3363301B2 (ja) * 1995-03-08 2003-01-08 シャープ株式会社 強誘電体薄膜被覆基板及びその製造方法及び強誘電体薄膜被覆基板によって構成された不揮発性メモリ
JP3133922B2 (ja) * 1995-06-09 2001-02-13 シャープ株式会社 強誘電体薄膜被覆基板、その製造方法、及びキャパシタ構造素子
JP3480624B2 (ja) 1995-06-09 2003-12-22 シャープ株式会社 強誘電体薄膜被覆基板、その製造方法、及びキャパシタ構造素子
JP3188179B2 (ja) * 1995-09-26 2001-07-16 シャープ株式会社 強誘電体薄膜素子の製造方法及び強誘電体メモリ素子の製造方法
US5804823A (en) * 1995-10-10 1998-09-08 Raytheon Company Bismuth layered structure pyroelectric detectors
JP3891603B2 (ja) * 1995-12-27 2007-03-14 シャープ株式会社 強誘電体薄膜被覆基板、キャパシタ構造素子、及び強誘電体薄膜被覆基板の製造方法
JP3258899B2 (ja) * 1996-03-19 2002-02-18 シャープ株式会社 強誘電体薄膜素子、それを用いた半導体装置、及び強誘電体薄膜素子の製造方法
JP3438509B2 (ja) * 1997-02-04 2003-08-18 セイコーエプソン株式会社 セラミックス薄膜及びその製造方法
US5853500A (en) * 1997-07-18 1998-12-29 Symetrix Corporation Method for fabricating thin films of barium strontium titanate without exposure to oxygen at high temperatures
US6080499A (en) * 1997-07-18 2000-06-27 Ramtron International Corporation Multi-layer approach for optimizing ferroelectric film performance
US6287637B1 (en) 1997-07-18 2001-09-11 Ramtron International Corporation Multi-layer approach for optimizing ferroelectric film performance
KR100284737B1 (ko) * 1998-03-26 2001-03-15 윤종용 고유전율의유전막을갖는반도체장치의커패시터제조방법
US6326315B1 (en) * 2000-03-09 2001-12-04 Symetrix Corporation Low temperature rapid ramping anneal method for fabricating layered superlattice materials and making electronic devices including same
DE10041699A1 (de) 2000-08-24 2002-03-21 Infineon Technologies Ag Niedertemperatur-Prozessierung ferroelektrischer Strontium-Wismuth-Tantalat-Schichten und Herstellung ferroelektrischer Bauelemente daraus
US6890768B2 (en) * 2001-03-09 2005-05-10 Symetrix Corporation Method of making layered superlattice material with ultra-thin top layer
DE102004002204A1 (de) 2004-01-15 2005-08-11 Epcos Ag Keramikmaterial
JP5019020B2 (ja) * 2005-03-31 2012-09-05 セイコーエプソン株式会社 誘電体膜の製造方法及び圧電体素子の製造方法並びに液体噴射ヘッドの製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02232974A (ja) * 1989-03-07 1990-09-14 Seiko Epson Corp 半導体装置
US5146299A (en) * 1990-03-02 1992-09-08 Westinghouse Electric Corp. Ferroelectric thin film material, method of deposition, and devices using same
JP3006053B2 (ja) * 1990-08-07 2000-02-07 セイコーエプソン株式会社 半導体装置
WO1992002955A1 (en) * 1990-08-07 1992-02-20 Seiko Epson Corporation Semiconductor device
JP3131982B2 (ja) * 1990-08-21 2001-02-05 セイコーエプソン株式会社 半導体装置、半導体メモリ及び半導体装置の製造方法
EP0489519A3 (en) * 1990-12-04 1993-05-12 Raytheon Company Sol-gel processing of piezoelectric and ferroelectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579753B2 (en) 2000-09-21 2003-06-17 Oki Electric Industry Co., Ltd. Method of fabricating a semiconductor storage device having a transistor unit and a ferroelectric capacitor

Also Published As

Publication number Publication date
DE69331743D1 (de) 2002-04-25
KR950704814A (ko) 1995-11-20
EP0665981B1 (en) 2002-03-20
WO1994010702A1 (en) 1994-05-11
KR100407232B1 (ko) 2004-06-26
CA2145879A1 (en) 1994-05-11
DE4395687T1 (de) 1995-11-23
WO1994010704A1 (en) 1994-05-11
DE69331743T2 (de) 2002-08-08
KR950704810A (ko) 1995-11-20
EP0665981A1 (en) 1995-08-09
KR100442543B1 (ko) 2004-11-20
JPH08502628A (ja) 1996-03-19

Similar Documents

Publication Publication Date Title
US5468684A (en) Integrated circuit with layered superlattice material and method of fabricating same
US5719416A (en) Integrated circuit with layered superlattice material compound
JPH08502859A (ja) 層状の超格子物質を有する集積回路およびその製造方法
KR100395468B1 (ko) 수소 배리어 층을 갖는 반도체 장치
US5929473A (en) MMIC semiconductor device with WNx capacitor electrode
US6627462B1 (en) Semiconductor device having a capacitor and method for the manufacture thereof
US5330931A (en) Method of making a capacitor for an integrated circuit
KR100285871B1 (ko) 강유전체를 가진 캐패시터를 포함하는 반도체 디바이스 및 그의 제조방법
KR100570860B1 (ko) 처리중에 손상된 강유전체막의 전압사이클링회복
KR100417743B1 (ko) 90 나노미터 이하의 두께를 갖는 강유전성 박막을 지닌강유전성 메모리와 그 제조 방법
KR100323268B1 (ko) 메모리 디바이스 및 그 제조 방법
KR19990037319A (ko) 유전체 박막의 특성 열화가 적은 반도체 메모리 소자
JPH10163437A (ja) 強誘電体キャパシタの部分的にあるいは完全に被包された上部電極
JP4240542B2 (ja) 集積回路の電極構造とその作製方法
US5920453A (en) Completely encapsulated top electrode of a ferroelectric capacitor
JPH09293869A (ja) 半導体装置およびその製造方法
US6730948B2 (en) Semiconductor device including acrylic resin layer
KR100405146B1 (ko) 구조화된 금속 산화물 함유 층의 제조 방법
KR100333667B1 (ko) 강유전체 메모리 소자의 캐패시터 제조 방법
JPH07169854A (ja) 半導体デバイスおよびその製造方法
JP3627814B2 (ja) 集積回路用コンデンサの構造およびその製造方法
JP3767675B2 (ja) 半導体装置およびその製造方法
JP2001127267A (ja) 相互作用の防止方法および多層電気装置
JP2001338834A (ja) 誘電体キャパシタの製造方法
JPH08236719A (ja) 白金薄膜、半導体装置及びそれらの製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040622

A313 Final decision of rejection without a dissenting response from the applicant

Free format text: JAPANESE INTERMEDIATE CODE: A313

Effective date: 20041101

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041207