JPH08181189A - 真空中処理における加工物の高速度移動 - Google Patents

真空中処理における加工物の高速度移動

Info

Publication number
JPH08181189A
JPH08181189A JP7182258A JP18225895A JPH08181189A JP H08181189 A JPH08181189 A JP H08181189A JP 7182258 A JP7182258 A JP 7182258A JP 18225895 A JP18225895 A JP 18225895A JP H08181189 A JPH08181189 A JP H08181189A
Authority
JP
Japan
Prior art keywords
wafer
transfer
processing
airlock
cassette
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7182258A
Other languages
English (en)
Inventor
Manny Sieradzki
マニー・シエラズキ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Diamond Semiconductor Group Inc
Original Assignee
Diamond Semiconductor Group Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23026049&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH08181189(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Diamond Semiconductor Group Inc filed Critical Diamond Semiconductor Group Inc
Publication of JPH08181189A publication Critical patent/JPH08181189A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Abstract

(57)【要約】 【目的】 ウエーハを真空室内に能率的に送入又は送出
してウエーハ処理の生産性を向上させること。 【構成】 2個のカセットを別個のエアロックを介して
導入する処理装置及び処理方法を提供する。ロボット
が、第1のカセットからウエーハを実質的に連続な流れ
として順次に処理部に移動させ、次いでそこから第1の
カセットに戻す。次にロボットは第2のカセットからウ
エーハを順次に処理部に移動させ、次いでそこから第2
のカセットに戻す。これにより、第2のカセットの処理
中に、第1のカセットを送入又は送出し、それに伴う排
気動作と通気動作を行う時間的な余裕が生まれる。第2
のカセットのウエーハは第1カセットが送入され或いは
送出されている間に処理され、同様に、第1のカセット
のウエーハは第2カセットが送入され或いは送出されて
いる間に処理される。このようにして、一方のカセット
のウエーハが適当な向きに配向され処理される間に、真
空室内での加工物の連続的な流れが可能になる一方、他
方のカセットのゆっくりした送入及び排気、或いは通気
または排気が可能になる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は高い処理速度で真空室内
の加工物を移動させる装置及び方法に関する。より詳し
く言うと、本発明は加工物の連続的な流れを維持しなが
ら加工物を真空室に導入し、適当な方向に配向させ、一
度に1つ処理し、そして真空室から送出する装置及び方
法に関する。
【0002】
【従来の技術と解決すべき課題】微小電子回路の製造に
おけるシリコンウエーハの処理工程では、数百個の処理
工程を実施するために20個程度までの異なった種類の
処理装置が使用される。このような処理工程の大部分は
約1×10-3以下の圧力の真空室中で実行されなければ
ならず、各工程はウエーハあたり10秒〜3分を必要と
する。大抵の処理装置は製造環境での最適制御と再現性
の確保のために、一度に1枚づつウエーハを処理する。
【0003】一般に、各ウエーハの処理は特定の順序で
行う必要があり、そのため各処理は先行する工程の処理
が終わるまで待機する必要があり、これは次工程にウエ
ーハが移行する時間に影響を及ぼす。イオン注入等の比
較的短時間ですむ真空処理の処理装置の生産性すなわち
時間当たりの生産量は、もしも加工物のその処理部への
流れが逐次工程(例えば、ウエーハを真空室に導入する
工程、真空中でのウエーハの移動、或いはウエーハ保持
具またはカセットの交換等)により阻害されるならば、
厳しく制限される。
【0004】生産性を向上するには、順次に実行しなけ
ればならない逐次工程の時間を短縮することが望まれ
る。このため、通常は排気(高真空への)及び吸気(大
気圧への)は約30秒以内でできるだけ短時間に迅速に
行われている。しかし、このような急速なサイクルによ
り処理系統の内部深くに異物或いは粒子が高水準に入り
込む。これらの粒子はシリコンの表面に付着して製造中
の微小電子回路の大きい部分に欠陥を生じ、収率を低下
させる。
【0005】従来の技術はこの問題に対して種々の方法
を提案している。初期の装置では、重力スライドを使用
して、ウエーハを一度に1枚ずつエアロックを通して真
空処理室に導入するウエーハ取扱装置を使用した。各ウ
エーハは真空処理室内で処理され、他のエアロックを通
して真空処理室から出ていく(例えば米国特許第428
2924号の図1参照)。
【0006】これらの装置はしかし微小電子回路の製造
に使用されるウエーハの寸法が、15.2cm×20.
3cmのような大型になり、ウエーハの滑動及び急速サ
イクルによるウエーハのひび割れ及び高度の欠陥を含む
若干の問題を生じた。また、工場の製造工程の追跡は、
ウエーハ自体の追跡ではなくウエーハ保持具の移動を追
跡するものであり、また、ウエーハは元の保持具または
カセットへ戻されるのではなかったために、工場内で処
理中の加工物の追跡は複雑となった。
【0007】従来の他の技術では、2個のカセットをそ
れぞれ第1及び第2エアロックを通じて真空室に導入し
同時に排気する様にしたウエーハ処理部を使用した。ウ
エーハは両ロックから交互に導入された。例えば、全て
のウエーハが処理されるまで第1ウエーハは第1エアロ
ックから、第2ウエーハは第2エアロックから導入され
た。この装置では、ウエーハは元のカセットに戻された
が、次の処理のためには真空を破り大気に開く必要があ
った。また、この型の装置では両カセットを処理が中断
中のほぼ同一の時間内でエアロックに導入し、またそこ
から取り出さなければならなかった。製造ロットは単一
ウエーハカセットである場合も多いので、この方法は必
ずしも可能ではない。この装置の他の問題点は、貴重な
処理時間をロスしない様に、作業者が常駐して、処理の
直後に処理済のウエーハカセットを、処理を待機してい
る次のウエーハカセットに交換しなければならないこと
である。
【0008】従って、ウエーハの取扱或いは運動をうま
く案配して、真空室へ移動させたカセットからのウエー
ハの連続的な流れを確保する一方、エアロックにゆっく
りと通気し、処理済のウエーハのカセットを未処理のカ
セットに交換し、そして新規なカセットを収容したエア
ロックを適当な真空までゆっくり排気するための時間を
増大することが望まれる。更に、ウエーハの流れを増大
しながら最小数の運動機構を持ってウエーハの取扱を行
うことが望まれる。
【0009】
【課題を解決するための手段】これらの目的は、2個の
カセットを別個のエアロックを介して導入する処理装置
及び処理方法により達成される。ロボット等の複数の移
動機構は、第1のカセットからウエーハを実質的に連続
な流れとして順次に処理部に移動させ、次いでそこから
第1のカセットに戻す。次にロボットは第2のカセット
からウエーハを順次に処理部に移動させ、次いでそこか
ら第2のカセットに戻す。これにより、第2のカセット
の処理中に、第1のカセットを送入又は送出し、それに
伴う排気動作と通気動作を行う時間的な余裕が生まれ
る。第2のカセットのウエーハは第1カセットが送入さ
れ或いは送出されている間に処理され、同様に、第1の
カセットのウエーハは第2カセットが送入され或いは送
出されている間に処理される。このようにして、一方の
カセットのウエーハが適当な向きに配向され、処理され
る間に真空室内での加工物の連続的な流れが可能になる
一方、他方のカセットのゆっくりした送入及び排気、或
いは通気または排気が可能になる。
【0010】本発明を図面に関連して詳しく説明する。
比較のため従来の装置をまず説明する。図1は従来のウ
エーハホルダ10であり、ホルダ10は支持棒12によ
り3つの位置、すなわちウエーハ13を受取る受取位
置、処理する処理位置、及び放出する釈放位置に回転す
る。第1の位置では、(A)のように、ウエーハ13は
重力の作用でホルダ10のスロット11に滑り込む。次
にホルダは支持棒12の周りに回転して(B)のように
イオン注入その他の処理のためイオンビームに直角な向
きに移行する。その後、ホルダは下方に回転してウエー
ハを排出経路に沿い重力の作用で下方に滑り落ちて釈放
される。ウエーハをカセットから取り出し、処理後にこ
れを異なったカセットに戻すための補助取扱手段が入口
経路及び出口経路にあっても良い。この揺動式の構成で
は、ウエーハを処理部に送入送出するための機構が比較
的単純になる。しかしながら、滑動運動は粉塵を発生さ
せ、また連続的な送り機構には高度に逐次的な動作が必
要である。
【0011】図2は従来の第2のウエーハ処理装置20
を示す。この装置では第1及び第2ウエーハ移送台30
a、30bが個々のエアロック22a、22bに送入さ
れる。これらのエアロックは主真空室40に隔離弁23
a、23bを介して連通しており、また別々に排気及び
通気される。イオン注入部のような単一の処理部25が
中央に配置されていて、1対のロボットないしウエーハ
移送機構26a、26bがこれに関連している。各移送
機構は部材28a、28bに沿って軸方向に移動でき、
また枢動腕29a、29bにより枢動できる。軸方向の
並進運動はウエーハを真空室とエアロック内にある移動
台との間で移動させるために使用させる。一方腕の枢動
運動は真空室内でのより制限された運動、例えば中間位
置27a、27bからイオン注入部25までの運動を行
わせるために使用される。この装置の動作は次の通りで
ある。エアロック22aにある移送台の単一ウエーハに
対して、対応した移送機構26aが直線状に移動してウ
エーハを掴み、後退して整列ターンテーブル27a上に
置く。ウエーハの周縁の面が適正な向きに配向した後、
腕29aはウエーハを持ち上げて枢動してそれを処理部
25にもたらす。逆に、ウエーハを処理部25から取り
除くには、腕を逆方向に枢動させ、整列ターンテーブル
上に静止しないで並進させて移送台30aに戻す。次い
で移送台は1スペースだけ上昇して次のウエーハを移送
機構に接近可能な位置に移動させる。A側のウエーハ
(移送台30aからのウエーハ)がイオン注入部で処理
されている間に、第2のロボット26bは第2のウエー
ハ移送台30bからB側のウエーハを送入し且つ配向し
ている。次にこのウエーハを、A側ウエーハがそこから
取り外されている丁度その間に、イオン注入部25に移
送される。こうして、ウエーハは2つのカセットないし
移送台30a、30bからのウエーハを交互にイオン注
入部25に移動させる連続した流れを形成する。しか
し、各カセットが処理されたら、続いて時間のかかる通
気、カセット交換及び排気を行わなければならず、その
間に処理部は空き状態のままとなる。
【0012】
【実施例の説明】従来技術とは異なり、図3に示す本発
明の処理装置100は、平坦で薄い加工物(主としてウ
エーハ)を、逐次動作に伴う遅れを導入することなく、
ほぼ連続流れとして真空室に導入して高速処理を行うこ
とを可能にする。本発明の処理装置100は2個のカセ
ットを有し、加工物またはウエーハは一度に一個づつ処
理され、各々は元の移送台またはカセットに戻される。
第1のカセットからのすべての加工物が処理されたら、
そのカセットは、第2エアロックからの次のカセットが
処理されている間に、第1のエアロックにおいて大気圧
に戻される。一方のカセットの排気と通気は処理工程に
続いて行われるのではなくて、他のカセットからのウエ
ーハが処理されている間に行われる。このため、カセッ
ト交換のタイミングは図2に示した従来例のように生産
性に対して重要な影響を与えることはなく、他のカセッ
トが処理されている間ならいつでも行うことができる。
従って、より単純な構成の装置とより清浄な条件下に、
処理を遅延させることなく、遅い(ゆっくりした)真空
サイクルが使用できる。
【0013】本発明の実施例を図3に示す。排気された
第1エアロック22a内に収容した第1カセット30a
から、半導体ウエーハ13は真空室40に移動して一度
に一個づつ処理される。ウエーハの第2のカセット30
bはそれに続いて第2エアロック22bに送入されても
処理装置100の全体的な生産性すなわち時間当たりの
生産量には影響しない。第2カセットの送入及び排気に
必要な時間は、第1カセット30aのウエーハの全部を
処理するに要する時間(ウエーハ1枚あたりの処理時間
に依存する)に実質的に等しい。例えば処理時間がほぼ
10秒ならば、25枚のウエーハを有するカセットに対
する処理時間は約5分である。
【0014】処理装置100の基本的な構成部分は、第
1及び第2ロボット(ウエーハ取扱い機構)62、64
と、イオン注入部25と、転送部50を含む。イオン注
入部25は、イオンビーム処理中にウエーハを位置決め
して保持するための、平坦なプラテンまたは台と、整列
及びクランプ機構と、冷却手段とを有する。転送部50
は処理に先立ってウエーハを方向づける整列ターンテー
ブルから構成しても良いし、或いは整列が不要ならば
(イオン注入がウエーハの面に垂直な場合)単純な台で
も良い。
【0015】ロボット62、64は複数の部材からなる
枢動腕として例示されている。しかし、以下に述べる所
定の運動が生成できるかぎり、その実際の機構は数種の
異なった機構の任意のものにより、並進(直線)運動を
含ませるように構成できる。一般に、ロボットはウエー
ハを実際につかまないで、ウエーハがリフトピン等によ
り接近可能な位置に持ち上げられた時に、ウエーハの下
側にヨーク状の支持部材を滑り込ませる。次に、ロボッ
トはウエーハが滑り落ちるような過分の加速を行わない
ように運動を行う必要がある。これらの運動は、ウエー
ハをその初期位置から次の所望の位置に移動させるよう
にプログラム制御された順序で行われる1つ以上の直線
運動と回転運動とからなる。
【0016】
【作用】処理装置100の動作は次の通りである。ウエ
ーハを保持したカセット30aを収容した第1エアロッ
ク22aを約100mtorrに排気した後、隔離弁2
3を開放してウエーハを第1エアロック22aから真空
室40に、最後には処理部(プラテン)25に移行でき
るようにする。第1ロボット62はウエーハ13を第1
エアロック22a内の第1カセット30aから取り出し
て、ウエーハ転送部50に移す。図示の例では、転送部
50は単純なウエーハ整列ターンテーブルであり、例え
ば米国マサチューセッツ州グローセスター所在のVar
ian Companyより市販されているModel
E−220lが使用できる。次に、第2ロボット64
がウエーハを転送部50から処理部25のプラテン上に
移す。この間に第1ロボットは第1エアロックに戻り、
次のウエーハを取り出してこれを転送部50に載せる。
第1ウエーハがイオン注入部25で処理されたら、第1
ロボットは処理済のウエーハを処理部(プラテン)25
から取り除く。第1ロボットが処理済のウエーハを処理
部25から取り除いている間に、第2ロボットはすでに
転送部50に載っている次のウエーハを取り上げて、こ
れを直ちにイオン注入部25のプラテン上に移す。この
第2のウエーハが処理されている間に、第1ロボットは
処理済のウエーハを第1エアロック内の第1カセットに
戻し、そこで次の未処理ウエーハを取り上げて転送部5
0に載せる。第1ロボットは再び処理位置に移動して第
2の処理済ウエーハをイオン注入部のプラテンから取り
上げてこれを転送部50上に載せ、その間に、転送部で
待機している未処理ウエーハを取り上げた第2ロボット
はそれを処理部25のプラテン上に直ちに移す。
【0017】こうして、第1カセットからのウエーハを
処理するには、第1ロボットは各ウエーハの移動経路を
3つに分割した場合の第1脚(区分)すなわち第1エア
ロック内の第1カセットから転送部50までの経路、及
び第3脚(区分)すなわち処理部25から第1カセット
までの経路を実行し、第2ロボットは中間の第2脚(区
分)、すなわち転送部50から処理部25までの経路を
実行する。特に、少なくとも1つのロボット及び多くは
2つのロボットが各瞬間に作業に従事し、2つのウエー
ハが任意の時間に真空室内を移動しており、また両ロボ
ットは同時に処理部への送入とそこからの取り出しに従
事し、そのためイオン注入処理部はほんの短い時間だけ
中断するに過ぎずない。これらの工程は第1エアロック
のカセット30aのすべてのウエーハが処理されるまで
反復される。
【0018】次いで、2つのロボットは役割を交替し
て、第2エアロック22bにあるすべてのウエーハが転
送され、処理され、そして元に戻されるまで同様な対称
な動作が反復される。この場合に第2ロボットは第2カ
セット30bから転送部50にウエーハを移動させ、そ
の後イオン注入処理部(プラテン)25からの処理済ウ
エーハを取り上げて第2エアロックの第2カセット30
bに戻し、一方第1ロボットは単に転送部50で待機し
ている未処理ウエーハを処理部25に移行させるだけで
ある。第2カセット30bのウエーハが処理されている
間に、第1エアロック22aは大気圧に通気されて第1
カセット30aは取り出され、新たな第1カセット30
a’(図示せず)が第1エアロック22aに送入され、
排気される。これらの取り出し、送入、通気、及び排気
は過酷な条件を回避する緩やかな圧力サイクルを使用す
ることが可能になる。以上のように、ロボットは高速生
産を達成するバケツリレー方式であり、一度に一つのカ
セットが処理されるにも拘らず、移送機構及び制御が単
純であり、すべてのウエーハには同様な移送と処理が行
われ、それぞれのロボットは新たなカセットに対して周
期的に役割を交替する。
【0019】特に、一方のエアロックからのウエーハが
処理されている間に、他方のロックが通気され、そこか
ら処理済のウエーハは取り除かれ、新たな半導体ウエー
ハのカセットがエアロック内に送入され、ドアが閉じら
れ、排気され、転送室(中間に使用する場合)または真
空室に通じる弁が開かれる。こうして、現在のウエーハ
の処理が完了すると、次に処理すべきウエーハのカセッ
トがすでに所定位置にあり、そのカセットに接近するロ
ボットは時間遅延なしに新たなウエーハの1枚目を取り
上げて、それを転送部50に載せることができる。この
ロボットは次に、新たな次のウエーハをカセットから転
送部50に移行させ、また処理部25から処理済のウエ
ーハをカセットに戻す2つの工程を継続する一方、他方
のロボットは転送部50から処理部25へのウエーハの
移動を行う。このように、2つのロボットの反復的な交
互動作を含む事象の全体の順序は中断しないで周期的に
行われ、一つのカセットから他方のカセットへの切り替
えは、次の工程を一時的にも遅延させたり、処理ライン
に沿ったウエーハ移動速度を変えたりしないで、ロボッ
トにより引き受けさせることができる。
【0020】
【発明の効果】真空処理装置へウエーハの送入・送出す
るための本発明の方法による利点は次の通りである。 1)加工物の処理部への連続した流れが可能となり、処
理時間が短い場合でも、作業者の処置のための中断を必
要としないで、直列処理装置の加工物例えばウエーハの
1時間当たりの生産量が200個以上となる。 2)処理及び移送事象に対して逐次的でなく、同時的な
排気及び大気圧への通気が処理の背景で行われ、その結
果これらの排気及び通気動作に大きい時間を割り当てる
ことができ、真空サイクル中の乱れを減じ、粒子の発生
または付着が減じ、微小電子装置の製造における収率が
高くなる。
【0021】本発明は複数のロボットが第1エアロック
またはカセットからウエーハを連続的な流れで処理部へ
とリレーするという基本的な実施例に関連して説明し
た。例示の転送部または配向転送部50はウエーハを一
時的に駐留させ、その結果、各ウエーハは一方のロボッ
トから他方のロボットへと交互に引き継がれるが、この
バケツリレー式の転送はロボットが直接に手渡しを行う
必要がなく、そのため両ロボットをどの瞬間にも一つの
ウエーハに同時に結合することがない。これにより、ど
の瞬間にも単一のカセットからの複数のウエーハが同時
に転送状態にある。同一構造の複数のロボットが別個の
エアロックまたはカセットからのウエーハを異なった移
送経路に沿って同一の処理部へと転送する。
【0022】図4は図3の装置の動作を説明するための
上記した転送段階を有するウエーハの経路図である。隣
接したエアロック22a、22bがカセット30a、3
0bを処理装置の左位置L及び右位置Rに位置付けた状
態が示されている。図示のように、第1カセットからの
ウエーハは3つの完全に分離した経路区分a、b、cを
順に移動し、第2カセットからのウエーハは3つの完全
に分離した経路区分d、e、fを順に移動する。各経路
はウエーハが処理部を通して往復する時に一方の側から
他方の側へと曲線を描く。その結果、2つのロボットが
処理済ウエーハを処理部25から取り除き、未処理ウエ
ーハを処理部へ持ち込む際に、次に入って来るウエーハ
の邪魔をすることがない。この処理装置は最少数の部
材、すなわち2個のロボットと1個の転送部とを使用し
て、処理部を通る実質的に中断されることのない加工物
流れを提供し、完全に逐次的なウエーハ搬入と迅速真空
サイクルの交互使用を解消する。
【0023】上記のように、ウエーハ1個当たり約10
秒の典型的な迅速イオンビーム処理時間に対し、図示の
転送経路はウエーハの滑り或いは移送事故を生じるよう
な大きさのロボット加速に頼ること無く容易に実行され
る。例えば、第1ロボットはウエーハを経路cに沿って
戻し、新たなウエーハを取り上げ、次いで経路aに沿っ
て移動して転送部50に置く。次いで経路bに沿って迅
速に処理部25に移動し、次のウエーハを処理部から取
り上げ、次の経路cに進み、各経路区分でのウエーハの
移動には1〜3秒程度しか要しない。
【0024】
【発明の変形例】しかし、特別の処理を考慮すると移送
工程の速度が制限されることがあり得る。例えば、もし
イオンビーム処理による時間が数秒以内であると、単一
ロボットがウエーハを落下する危険なしに上記のように
3つの経路区分を通過することが困難となりうる。その
場合には、本発明は更に追加の駐留部すなわち転送部を
移送経路に沿って配置することを意図する。処理部25
が極端に短い処理時間で動作する場合には、本発明は更
に追加のロボットを使用するか、または追加の転送部と
追加のロボットの組み合わせを使用して、2個以上のウ
エーハを、ロボットの過度に急激な運動を要することな
く、或いは微妙なウエーハ取扱に必要な低慣性の要件と
相容れない加速を生じることなく、或いは処理部に遅延
または休止時間を生じることなく、連続的に移送するこ
とを可能にすることも意図する。更に、本発明は2個の
エアロックと2個のカセットを有する例には限定されな
いで、より多数のロックまたはカセット、或いは両者を
具備した処理装置に容易に適合できる。
【0025】更に、上の説明はカセット送入が従来の方
式であることを仮定し(例えば、カセットはエアロック
内に収納され、順次のウエーハをロボットの移動平面に
もたらすように昇降機がカセットを昇降させるような構
造)、また一対のロボットが極く部分的に重畳する範囲
を有することを仮定したが、本発明は他の形態のカセッ
ト送入方式にも容易に適合する。例えば、カセットの全
体がエアロックから真空室に移動するような装置では、
2以上のカセットが極く近接して配置される位置に進
み、それによって2つのロボットが両方のカセットに接
近できる様に構成しても良い。その場合には、配向部が
不要ならば(例えば、バルクドープ処理の場合)ロボッ
トは単純にウエーハを交互に取り上げ、それらをカセッ
トから処理部まで単一の運動で移動し、そしてそこから
戻る様にしても良い。
【0026】しかしながら、ロボットとしては特別注文
の腕の長いロボットを使用する代わりに、例示の実施例
では、一連の短い回転腕区分より構成された機械的な腕
をする、市販の関節結合型のロボットを利用している。
この場合、どのロボットも2つのカセットには接近でき
ず、それらの運動範囲の中間部すなわちの転送部50の
ところで重畳できる。更に、装置の費用は、一方のウエ
ーハカセットをゆっくりと排気している間に他方のカセ
ットを処理できるので、小型の真空ポンプを使用するが
でき、あるいは単一の真空ポンプを切り替えて両エアロ
ックを選択排気できる様にできるため、更に減少でき
る。
【図面の簡単な説明】
【図1】従来のウエーハ処理装置の立面図を示す。
【図2】従来の他のウエーハ処理装置の平面図を示す。
【図3】本発明のウエーハ処理装置の平面図を示す。
【図4】図3のウエーハ処理装置の実施例における転送
経路の一例を示す。
【符号の説明】
13 ウエーハ 22a 第1エアロック 22b 第2エアロック 23 隔離弁 25 処理部(イオン注入部) 30a 第1カセット 30b 第2カセット 40 真空室 62 第1ロボット 64 第2ロボット 100 処理装置

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 真空中において、カセットから半導体ウ
    エーハを取り出して処理する装置において、 第1ロボット、第2ロボット、及びウエーハ転送部を内
    部に有する真空室と、 ウエーハのカセットを大気中から真空室内に送入し、そ
    こから送出するための第1エアロック及び第2エアロッ
    クと、 前記各エアロックを排気及び通気する排気・通気手段
    と、 前記真空室にあって、前記第1及び第2ロボットからウ
    エーハを受取って処理する処理部と、 前記排気・通気手段が前記他方のエアロックを排気・通
    気している間に、前記第1及び第2ロボットが一方のエ
    アロックからウエーハを順次連続的に処理部に移動させ
    また処理部からエアロックへ戻させるように前記第1及
    び第2ロボットを制御する制御手段と、からなる半導体
    ウエーハの処理装置。
  2. 【請求項2】 前記真空室には前記第1及び第2ロボッ
    ト処理部の前にウエーハ転送部が設けられている請求項
    1の処理装置。
  3. 【請求項3】 前記第1及び第2ロボットはいずれも前
    記ウエーハ転送部及び処理部の両方に接近できる請求項
    1の処理装置。
  4. 【請求項4】 制御手段は両ロボットを制御して前記第
    1エアロックに導入されるウエーハを前記第2エアロッ
    クに送入されるウエーハとは異なった経路に沿って移動
    させる請求項3の処理装置。
  5. 【請求項5】 両ロボットは一方のエアロックからの少
    なくとも2つのウエーハを同時に移動させるものである
    請求項1の処理装置。
  6. 【請求項6】 各ロボットは前記第1及び第2エアロッ
    クの一方にのみ接近できる請求項3の処理装置。
  7. 【請求項7】 排気手段は、前記エアロックに結合され
    た時に前記エアロックを排気するための単一エアロック
    排気手段と、前記単一エアロック排気手段を異なった時
    間中に第1及び第2エアロックの選択した方に結合する
    弁とを含んでいる請求項1の処理装置。
  8. 【請求項8】 前記2つのロボットはカセットからの各
    ウエーハを3つの経路区分を有する経路に沿って前記カ
    セットと前記処理部の間で行き来させ、また各ウエーハ
    はこれら3つの引き続く経路区分に沿って移動する間に
    前記2つのロボットにより交互に運ばれるものである、
    請求項1の処理装置。
  9. 【請求項9】 前記2つのロボットはそれぞれ同時に異
    なったウエーハを移送経路の異なった経路区分を移送
    し、連続的に持ち上げ、放し、それにより各ウエーハが
    交互にこれらのロボットにより移送されるようにした、
    請求項1の処理装置。
  10. 【請求項10】 ウエーハの第1及び第2カセットをそ
    れぞれ受け入れる第1及び第2のエアロックと、これら
    のエアロックを排気及び通気して、前記両エアロックを
    真空室及び大気に等圧的に連通させる排気及び通気手段
    と、前記真空室内の処理部と、前記ウエーハを前記処理
    部を通して移送させるように作働する第1及び第2移送
    ロボットとを設け、前記第1カセットから前記処理部へ
    向けて前記両ロボットが交互に各ウエーハを移送するよ
    うに制御し、前記両ロボットが前記第1カセットからす
    べてのウエーハを前記処理部へと移動し、ついで第1カ
    セットへ戻すようにように制御し、ついで前記両ロボッ
    トが前記第2カセットからすべてのウエーハを前記処理
    部へと移送し、ついで第2カセットに戻すように制御
    し、一方のエアロックからのウエーハが移送されている
    間に他方のエアロックの通気及び排気を行うようにす
    る、真空室中の処理部を通してウエーハを移送する方
    法。
  11. 【請求項11】 第1及び第2エアロックの排気及び通
    気手段は、低容量ポンプを有し、単一ウエーハの処理時
    間よりも長い時間にわたって作働する低容量ポンプを有
    している、請求項10のウエーハを移送する方法。
  12. 【請求項12】 前記低容量ポンプは、一方のカセット
    からのウエーハが処理されている間に、他方のエアロッ
    クに結合するように、交互接続が行われる請求項10の
    ウエーハを移送する方法。
  13. 【請求項13】 転送部を更に設け、ウエーハが前記エ
    アロックから前記処理室に移送される途中であって、前
    記2つのロボットによるそれぞれの移送の中間におい
    て、前記ロボットがウエーハを前記転送部に駐留させ、
    それにより処理作業中に単一のウエーハにより2個のロ
    ボットが同時に使用されることのないようにした、請求
    項10のウエーハを移送する方法。
  14. 【請求項14】 前記2つのロボットの各々は、異なっ
    たエアロックに関連していてウエーハをそれが関連して
    いるエアロックからウエーハを取り出し、それに戻すよ
    うになっており、前記両ロボットは一方のロボットがウ
    エーハを転送部に駐留させ、ついで他方のロボットが駐
    留したウエーハを処理部に移送し、それと同時に前記一
    方のロボットは先行する処理済ウエーハを処理部から取
    り出すようにした、請求項10のウエーハを移送する方
    法。
  15. 【請求項15】 2つのロボットはウエーハを相互に異
    なった2つの移送経路に沿って移動するように制御され
    る請求項14のウエーハを移送する方法。
  16. 【請求項16】 ロボットは同一カセットからの少なく
    とも2つのウエーハを前記処理部を通る経路に移動させ
    るように制御される、請求項15のウエーハを移送する
    方法。
  17. 【請求項17】 処理部及び転送部を有する処理ライン
    を有する真空室と、 大気圧にある一組の加工物を受け入れ、減圧して前記真
    空室に連通する第1及び第2エアロックと、 加工物を前記第1エアロックと処理ラインとの間で移動
    させる第1移送機構と、 加工物を前記第2エアロックと処理ラインとの間で移動
    させる第2移送機構と、 加工物を前記転送部から前記処理部へ移送する移送手段
    と、 前記第1及び第2移送機構が加工物を前記エアロックの
    一方から前記処理部へ移送する一方で、他方のエアロッ
    クが大気圧にある一組の加工物を受取るか又は放出する
    ように同期させる制御手段と、よりなる、真空サイクル
    を減じ、加工物の処理を排気と並行して実行するように
    した加工物の処理装置。
  18. 【請求項18】 第1及び第2移送機構の各々は、加工
    物を前記転送部から前記処理部へ移送するものであり、
    前記第1及び第2移送機構は一方のエアロックからの加
    工物を逐次に移送するものである、請求項17の処理装
    置。
  19. 【請求項19】 第1及び第2移送機構は少なくとも部
    分的に重畳する時間に加工物を移送するものである請求
    項18の処理装置。
  20. 【請求項20】 真空室と、ウエーハを大気圧領域から
    前記真空室へ供給するように動作する、一度に一組のウ
    エーハを収容する第1及び第2エアロックと、ウエーハ
    を前記エアロックと前記真空室の間で移動させる移送手
    段と、前記移送機構が加工物を前記エアロックの一方か
    ら前記処理部へ移送する一方で、他方のエアロックが大
    気圧領域と前記真空室の間でウエーハを移送するために
    圧力変化を行うように同期させる同期手段とよりなり、
    前記移送手段は少なくとも2個のロボットを有し、前記
    同期手段は前記ロボットの動作を少なくとも2個のウエ
    ーハが同時に前記真空室を通して交互のバケツリレー式
    に移動されるように制御するものである、ウエーハ処理
    装置。
JP7182258A 1994-06-30 1995-06-27 真空中処理における加工物の高速度移動 Pending JPH08181189A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US269159 1994-06-30
US08/269,159 US5486080A (en) 1994-06-30 1994-06-30 High speed movement of workpieces in vacuum processing

Publications (1)

Publication Number Publication Date
JPH08181189A true JPH08181189A (ja) 1996-07-12

Family

ID=23026049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7182258A Pending JPH08181189A (ja) 1994-06-30 1995-06-27 真空中処理における加工物の高速度移動

Country Status (5)

Country Link
US (1) US5486080A (ja)
EP (1) EP0690480B1 (ja)
JP (1) JPH08181189A (ja)
AT (1) ATE214845T1 (ja)
DE (1) DE69525881T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510870A (ja) * 2001-11-29 2005-04-21 ダイアモンド セミコンダクタ グループ エルエルシー ウエーハ取り扱い装置及び方法
JP2006019639A (ja) * 2004-07-05 2006-01-19 Tadamoto Tamai 真空処理装置
JP2008153690A (ja) * 2008-02-21 2008-07-03 Hitachi Ltd 真空処理方法及び真空処理装置
JP2009507363A (ja) * 2005-07-27 2009-02-19 シリコン・ジェネシス・コーポレーション 制御された劈開プロセスを用いてプレート上の複数タイル部分を形成する方法および構造
JP2010505280A (ja) * 2006-09-27 2010-02-18 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板処理システム及び方法
JP2011091430A (ja) * 2003-10-16 2011-05-06 Varian Semiconductor Equipment Associates Inc ウエハ取扱い方法及びシステム

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5760560A (en) * 1993-10-21 1998-06-02 Fanuc, Ltd. Robot apparatus
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
JP3200326B2 (ja) * 1995-04-24 2001-08-20 東京応化工業株式会社 円板状被処理物の移載方法及び移載装置
US5898588A (en) * 1995-10-27 1999-04-27 Dainippon Screen Mfg. Co. Method and apparatus for controlling substrate processing apparatus
US5793050A (en) * 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3533879B2 (ja) * 1996-07-15 2004-05-31 セイコーエプソン株式会社 部材の受け渡し装置および集積回路デバイスの検査装置
USRE38622E1 (en) 1996-07-15 2004-10-12 Seiko Epson Corporation Parts handling method
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
KR100492267B1 (ko) * 1996-09-11 2005-05-27 가부시끼가이샤 히다치 세이사꾸쇼 진공 처리장치 및 진공처리방법
JP3239779B2 (ja) * 1996-10-29 2001-12-17 日新電機株式会社 基板処理装置および基板処理方法
US5943230A (en) * 1996-12-19 1999-08-24 Applied Materials, Inc. Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system
TW398024B (en) * 1997-09-01 2000-07-11 United Microelectronics Corp Method to prevent stepper fetch arm from scratching the wafer back and its control device
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JP3196719B2 (ja) * 1998-03-31 2001-08-06 日本電気株式会社 汚染防御用隔離ラインを有する半導体製造ライン、ウエハ搬送機構および半導体の製造方法
US20050229725A1 (en) * 1999-01-17 2005-10-20 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6175418B1 (en) * 1999-01-25 2001-01-16 Intergen, Inc. Multiple alignment mechanism in close proximity to a shared processing device
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
AU6763000A (en) * 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6906109B2 (en) 2000-09-01 2005-06-14 Chemical Products Corp. Method for controling uniformity of colloidal silica particle size
US6778258B2 (en) * 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3865703B2 (ja) * 2002-10-25 2007-01-10 ファナック株式会社 物品搬送システム及び搬送方法
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
EP1457829A1 (en) * 2003-03-11 2004-09-15 ASML Netherlands B.V. Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
SG115632A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG115629A1 (en) 2003-03-11 2005-10-28 Asml Netherlands Bv Method and apparatus for maintaining a machine part
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2005048313A2 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7112808B2 (en) * 2004-02-25 2006-09-26 Axcelis Technologies, Inc. Wafer 2D scan mechanism
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
KR101123532B1 (ko) * 2004-04-05 2012-03-12 액셀리스 테크놀로지스, 인크. 이온 빔을 통해 공작물을 왕복 운동하는 방법
JP4840607B2 (ja) * 2004-04-09 2011-12-21 アクセリス テクノロジーズ インコーポレーテッド ばねと釣合重りを用いて往復回転運動を行うウエハ走査システム
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US7119343B2 (en) * 2004-05-06 2006-10-10 Axcelis Technologies, Inc. Mechanical oscillator for wafer scan with spot beam
US7829843B2 (en) * 2004-07-09 2010-11-09 The Trustees Of Dartmouth College Electronic time-of-flight mass selector
US8888433B2 (en) * 2004-08-19 2014-11-18 Brooks Automation, Inc. Reduced capacity carrier and method of use
US7720558B2 (en) 2004-09-04 2010-05-18 Applied Materials, Inc. Methods and apparatus for mapping carrier contents
US7585141B2 (en) * 2005-02-01 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Load lock system for ion beam processing
US20060258128A1 (en) * 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
JP2007242648A (ja) * 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
JP2011119468A (ja) * 2009-12-03 2011-06-16 Tokyo Electron Ltd 被処理体の搬送方法および被処理体処理装置
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
CN102310999B (zh) * 2010-07-09 2013-07-17 上海凯世通半导体有限公司 真空传输制程设备及方法
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
DE102012013022A1 (de) * 2012-06-29 2014-04-24 Liebherr-Verzahntechnik Gmbh Vorrichtung zur automatisierten Handhabung von Werkstücken
US10157763B2 (en) 2013-08-29 2018-12-18 Varian Semiconductor Equipment Associates, Inc. High throughput substrate handling endstation and sequence
CN108138304A (zh) * 2015-10-25 2018-06-08 应用材料公司 用于在基板上真空沉积的设备和用于在真空沉积期间掩蔽基板的方法
US11335578B2 (en) * 2020-02-13 2022-05-17 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer apparatus and method of measuring positional deviation of substrate
CN113380680A (zh) * 2020-03-10 2021-09-10 上海临港凯世通半导体有限公司 硅片输运装置
CN211788912U (zh) * 2020-04-01 2020-10-27 上海临港凯世通半导体有限公司 离子注入机的作业平台

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3901183A (en) * 1973-06-12 1975-08-26 Extrion Corp Wafer treatment apparatus
US4008683A (en) * 1973-07-16 1977-02-22 Varian Associates Machine for treating wafer-form items
US4282924A (en) * 1979-03-16 1981-08-11 Varian Associates, Inc. Apparatus for mechanically clamping semiconductor wafer against pliable thermally conductive surface
DE3219502C2 (de) * 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
JPS6464231A (en) * 1987-09-03 1989-03-10 Toshiba Corp Conveyor
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510870A (ja) * 2001-11-29 2005-04-21 ダイアモンド セミコンダクタ グループ エルエルシー ウエーハ取り扱い装置及び方法
JP2011091430A (ja) * 2003-10-16 2011-05-06 Varian Semiconductor Equipment Associates Inc ウエハ取扱い方法及びシステム
JP2006019639A (ja) * 2004-07-05 2006-01-19 Tadamoto Tamai 真空処理装置
JP2009507363A (ja) * 2005-07-27 2009-02-19 シリコン・ジェネシス・コーポレーション 制御された劈開プロセスを用いてプレート上の複数タイル部分を形成する方法および構造
JP2010505280A (ja) * 2006-09-27 2010-02-18 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板処理システム及び方法
JP2008153690A (ja) * 2008-02-21 2008-07-03 Hitachi Ltd 真空処理方法及び真空処理装置

Also Published As

Publication number Publication date
DE69525881D1 (de) 2002-04-25
ATE214845T1 (de) 2002-04-15
EP0690480B1 (en) 2002-03-20
US5486080A (en) 1996-01-23
EP0690480A1 (en) 1996-01-03
DE69525881T2 (de) 2002-11-28

Similar Documents

Publication Publication Date Title
JPH08181189A (ja) 真空中処理における加工物の高速度移動
JP4327599B2 (ja) ウエーハ取り扱い装置及び方法
KR100826404B1 (ko) 기판 처리 장치, 처리 챔버 및 코팅된 기판 제조 방법
EP1079418B1 (en) Vacuum processing apparatus and operating method therefor
JP4935987B2 (ja) イオン注入機と共に使用するための移送装置及びその方法
US10347515B2 (en) Method for manufacturing workpieces and apparatus
JP2011049585A (ja) 基板搬送装置及び方法
TWI762518B (zh) 用於工件處理之系統與方法
JP2000223549A (ja) 基板搬送装置、基板搬送方法、基板搬送用ハンド機構、灰化処理装置及び灰化処理方法
JPH04190840A (ja) 真空処理装置
JPH1027837A (ja) 多重ロードロックシステム
JPH03273606A (ja) 半導体製造装置
JP2682468B2 (ja) 半導体装置の製造装置
JPH02288139A (ja) イオン処理装置
JPH1174328A (ja) ウエハ処理装置
JP2850044B2 (ja) キャリアストッカ
JP2570580Y2 (ja) ウェーハ処理装置

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040416

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040727

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20041207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050307

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050525

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20050930