JPH07504128A - 関節アーム式移送装置 - Google Patents

関節アーム式移送装置

Info

Publication number
JPH07504128A
JPH07504128A JP6512541A JP51254194A JPH07504128A JP H07504128 A JPH07504128 A JP H07504128A JP 6512541 A JP6512541 A JP 6512541A JP 51254194 A JP51254194 A JP 51254194A JP H07504128 A JPH07504128 A JP H07504128A
Authority
JP
Japan
Prior art keywords
arms
arm
pivot
elbow
holding means
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6512541A
Other languages
English (en)
Other versions
JP3419457B2 (ja
Inventor
ハンドリクソン,ラス アン
Original Assignee
ブルックス オートメーション インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インク filed Critical ブルックス オートメーション インク
Publication of JPH07504128A publication Critical patent/JPH07504128A/ja
Application granted granted Critical
Publication of JP3419457B2 publication Critical patent/JP3419457B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/18Mechanical movements
    • Y10T74/18856Oscillating to oscillating
    • Y10T74/1888Geared connections
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/18Mechanical movements
    • Y10T74/18888Reciprocating to or from oscillating
    • Y10T74/1892Lever and slide
    • Y10T74/18952Lever and slide toggle transmissions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20576Elements
    • Y10T74/20582Levers
    • Y10T74/20588Levers toggle
    • Y10T74/20594Lazy tongs

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 関節アーム式移送装置 背景技術 1、発明の分野 本発明は、一般的には材料の移送装置に関する。移送される材料は、特に限定さ れるものではないが、例えば、シリコンやガリウム砒素などの半導体ウニへ高密 度相互接続基板(High Der+5tty Interconnects) などの半導体装基板(sesiconductor packaging 5u bstrate)、マスクやレチクルなどの半導体製造プロセスに用いられる像 形成プレート、及びアクティブマトリックスLCD基板などの大面積表示パネル が挙げられる。
2、従来技術 半導体素子の製造において、複数の作業ステーション即ち作業位置の間で壊れや すいシリコンウェハなどを移送する場合、その取扱いに関して特有の間朋が発生 する。シリコンウェハは非常に壊れやすく、また高度に研磨された表面を有して いる。ウェハは、急激に移動させると、渭り易い。そして、このような滑動によ って、シリコンウェハは磨耗し、あるいは、衛突した場合にはそれらの縁部が損 傷する。
シリコンウェハの移送装置として、以下に示す多くの従来技術が開示されている 。
米国特許第3.823.836号は、シリコンウェハを保持する複数の橋を有す る供給用移送台と、真空チャックを有する引出し装置とを備えた装置を開示して いる。真空チャックは、このチャックを上下動させる昇降機に取り(−1けられ ている。真空チャックに連結された水平移送アームによって、シリコンウェハは 供給用移送台から所望のワークステーションに移送される。
米国特許第3.730.595号は、ウェハを作業ステーションに対して搬入用 するための割り出し可能な移送台を有するウェハ移送取扱装置を開示している。
ウェハは、複数の指向性を持つ空気噴出口を有するウェハ放出受入アームによっ て、空気スライド上のウェハ移送台に出し入れされる。このウェハの放出受入ア ームは、移送台と空気スライドの間でのウェハの移動を制御し、これによって、 ウェハを作業ステーションとの間で移送する。
米国特許第4.062.463号、第3.874.525号及び第4.208. 159号は、ウェハの取扱いに空気式要素又は把持装置を利用したウェハ移送装 置を開示している。
米国特許第4.666.366号、及ヒ第4.909.70]11t、rmに似 た」動作で伸長あるいは収縮してウェハのような対象物を複数の位置間で移送す る関節式アーム組立体を有するウェハ移送取扱装置を開示している。2つの関節 結合されたアームは、片方のアームがモータで駆動されると、これらの2つの関 節結合されたアームが「蛙に似た」即ち「蛙の脚の蹴りに似た」動作で伸縮され るように、作動的に連結されている。出入台はアームに連結さね、この載置台の 上に被移送物が載置される。
しかし、上記の移送装置の関節アーム組立体は、単一の載置台しか備えていない ので、処理能力の点で限界がある。このような状況から、被移送物を損傷させず 、かつ従来の装置と比較して処理能力を向上させることができる、単純な構造で かつ信頼性の高い移送装置に対する要求がある。
発明の要約 本発明は、シリコンウェハ、カメラのレンズ、水晶発振子などの物品を、軸方向 及び半径方向に広がる種々の面に配置された複数の位置間で移送するための単純 な構造でかつ信頼性の高い装置を提供することを特徴とする1本発明の装置は、 jつの支持体と、一対の上部アーム(あるいは駆動アーム)と関節接合された2 組の前部アームと、前部アームの各組と連結された載置台(あるいはエンドイフ ェクタ(end effector)、又は池の適切な保持装置)と、上部アー ムの1つを駆動するモータによって構成されている。一対の上部アームと2対の 前部アームは、1つの上部アームがモータによって駆動されると、前部アームが 「蛙に似た」即ち「蛙の脚の蹴りに似た」動作で伸縮するように、作動的に連結 されている。伸長位置のエンドイフェクタは物品がなく空で、引戻位置のエンド イフェクタに物品がある場合には、空でない側のエンドイフェクタ(こは大きな 加速度と速度を付与することなく(さもないと、物品である基板がエンドイフェ クタに対して移動する)、空のエンドイフェクタは大きな加速度と速度で引き戻 される。これにより、最初の基板の受渡しから次の基板の受渡しまでの時間的な 間隔を短縮することができる。
関節アーム組立体は、好ましくは、関節アーム組立体の全体が半径方向の面内で 回転できるように、回転モータを介して基部に連結される。単一の載置台を備え た従来装置と同様に、各エンドイフェクタが伸長位置にないときに、関節アーム 組立体が回転される。しかし、従来技術と異なり、本発明の装置においては、1 つのエンドイフェクタが完全な引き戻されていれば、他のエンドイフェクタは必 ず完全に伸長位置に位!している。従って、本発明においては、両方のエンドイ フェクタが中間の「一旦停止」の位置にあるとき、支持体が回転する。このよう な「一旦停止」の位置を設けた点が、本発明の1つの重要な特徴になっている。
また、1つの実施例では、関節アーム組立体は、好ましくは、載置台組立体を軸 方向に移動させるように、力に反応する複数の可撓体あるいはレバーを介して基 部に連結される。また、他の実施例では、載置台組立体が可撓体で得られるより もさらに広い範囲にわたって軸方向に移動できるように、可撓体以外の手段に□  よってアーム組立体が取り付けられる。この組立体は、例えば、真空室あるい は他の雰囲気の調整された環境など、種々の環境に適応可能である。また、この 組立体は、組立体とその支持体間を真空シールで密封することによって、真空室 内で移動できるように取り付けてもよい。
図面の簡単な説明 図IAは、左側に伸長された位置にある、本発明による関節アーム組立体の平面 図、 図IBは、一旦停止位置にある、本発明による関節アーム組立体の平面図、図I Cは、右側に伸長された位置にある、本発明による関節アーム組立体の平面図、 図2は、本発明の実施例に用いられる従来装!の等角投影図、図2Aは、図2に 示す装置の側面図、 図2Bは、バンド・ドラム組立体の側面図、図20は、図2Bの線2C−20に 沿った断面図、図2Dは、図2Bの1l12D−2Dに沿った断面図、図3は、 本発明による関節アーム組立体の側面図、図4は、図3の関節アーム組立体の部 分平面図、図5は、本発明による関節アーム組立体を用いた処理順序を示す概略 図、図6は、従来装置を用いた処理順序を示す概略図、図7は、1つのウェハが 処理室にあって、他のウェハが引戻し位置にある状態を示す図、 図8は、図7のアームが停止位置にある状態を示す図、図9は、典型的な運動制 御(■otion control)サーボのブロック図である。
発明の詳細な説明 本発明の装置は、第1シヨルダー旋回軸50と第2シヨルダー旋回軸51とを有 する6本装置の中心線は、この第1及び第2シヨルダー旋回軸がら等距離にある 。第1上部アーム18は、第1シヨルダー旋回軸5oに回転可能に設けられ、第 1シヨルダー旋回軸から上部アームの長さだけ離間された少なくとも1つの第1 エルボ−旋回軸を含む第1エルボ−関節手段を有する。第2上部アーム17は、 第2シヨルダー旋回軸51に回転可能に設けられ、第2シヨルダー旋回軸から上 部アームの長さだけ離間された少なくとも1つの第2エルポー旋回軸を含む第2 エルボ−関節手段を有する。−次側第1前部アーム12は、第1エルポー旋回軸 に設けられ、第1エルボ−旋回軸から一次側前部アームの長さだけ離間されたー 次側第1リスト旋回軸を有する。−次側第2前部アーム13は、第2エルボ−旋 回軸に設けられ、第2エルボ−旋回軸から一次側前部アームの長さだけ離間され た一次制第2リスト旋回軸を有する。−次側保持手段25は、−次側リスト旋回 軸に取り付けられる。−次側の両リスト旋回軸の間に設けられた一次側連結機構 は、−次側保持手段の回転を防いでその運動を前記の中心線に沿った移動に制限 する。−次側前部アームの長さにいずれか一方の一次側リスト旋回軸と中心線と の間の離隔距離を加えた合計は、いずれかのエルボ−関節部と中心線との間の最 大離隔距離よりも大きい、二次側第1前方アーム14は、第1エルボ−旋回軸に 設けられ、第1エルボ−旋回軸から二次側前方アームの長さだけ離間された二次 側第1リスト旋回軸を有する。二次側第2前方アーム15は、第2エルボ−旋回 軸に設けられ、第2エルボ−旋回軸から二次側前方アームの長さだけ離間された 二次側第2リスト旋回軸を有する。二次側保持手段25゛は、両リスト旋回軸に 取り付けられる。二次側の両リスト旋回軸の間に設けられた二次側連結機構は、 二次側保持手段の回転を防いでその運動を中心線に沿った移動に制限する。二次 側前部アームの長さにいずれか一方の二次側リスト旋回軸と中心線との間の離隔 距離を加えた合計は、いずれかのエルボ−関節部と中心線との間の過大離隔距離 よりも大きい。さらに、第1上部アームを120@を越えて180°以下の角度 範囲で回転可能に駆動する手段を設け、この手段によって、−次側保持手段を一 次側の伸長位置と一次側の引戻し位置間で移動させ、同時に二次側保持手段を二 次側の引戻し位置と二次側の伸長位置間で移動させる。
保持手段は、半導体ウェハなどを保持するのに用いられる。この保持手段は中心 線に泊って移動する。また、この保持手段の速度は、エルボ−旋回軸の速度の、 中心線に平行な方向の成分の関数である。従って、上部アームの角速度が一定で あれば、上部アームが互いに拡開したときに支持体の速度が最大になる。
ここで、前部アームの長さにいずれか一方のリスト旋回軸と中心線との間の離隔 距離を加えた大きさを「A」とし、上部アームの長さにいずれが一方のショルダ ー旋回軸と中心線との間の離隔距離を加えた大きさをrBJとすれば、以下の関 係が成立する。もし、AがBより小さければ、システムは、上部アームが互いに 拡開する前に作動不能になる。もし、A=Bで、かつショルダー旋回軸の中心軸 からの離隔距離がリスト旋回軸の中心軸からの離隔距離と等しいなら、各前部ア ームは互いに重ね合わさるように移動可能である。もし、A=Bで、リスト旋回 軸の中心線からの離隔距離がショルダー旋回軸の中心線からの離隔距離よりも大 きいなら、リスト旋回軸はショルダー旋回軸を「行過ぎる」可能生がある。もし 、A=B(あるいは、AがBよりも大)で、かつリスト旋回軸の中心軸からの離 隔距離がショルダー旋回軸の中心軸からの離隔距離よりも小さいなら、リスト旋 回軸はショルダー旋回軸と重なることはない。
本装置は、中心線に対して、互いに対称である。
通常、前部アームの長さと上部アームの長さの差は非常に小さいが、この条件で は、保持手段は、完全に伸長された位置と一旦停止位置の間でアーム長さのほぼ 二倍の距離だけ移動し、一方、一旦停止位置と完全に引き戻された位置間では、 前記の差よりわずかに長い距離しか移動しない。
本発明の装置の能力は、以下に示すような駆動構造の特性により、単一の駆動機 構により、共通の中心線に沿う異なる速度を2つのエンドイフェクタに与えるこ とができる点にある。駆動機構の要素が簡素化された場合に、各エンドイフェク タは、はぼ菱形の駆動構造によって駆動される。その菱形構造においては、1つ の頂点が旋回軸として選択され、その旋回軸の回りに、その頂点に隣接する両側 の辺が回動する。いま、この菱形構造の運動について考えると、頂点に隣接した 両側の辺が回動すると、頂点の角度は180°になって菱形の面積が消失し、隣 接する一対の側部が他の隣接する1対の辺に重なり合う、そして、さらに回転す ると、2対の辺は、以下の2つの運動のいずれかを示す。すなわち、菱形を開く ように回動するか、閉じられた菱形を保持するように、2対の辺が重なり合った ままの状態で回転する。前者は、旋回軸である頂点と反対側の頂点が高速度で旋 回軸である頂点から離れるように移動する。後者は、これらの頂点のいずれに対 しても移動速度を付与しない。
本発明の装置の構造は、上記の性質を利用して、2つの駆動構造に異なった運動 を与えるようにしたものである。すなわち、1つの駆動構造は菱形を開くように 作動され、そのエンドイフエクタに大きな速度を与え、一方、他の駆動構造は閉 じられた菱形を保持するように作動され、そのエンドイフェクタに無視できる程 度の速度しか与えない。
本発明による装置の構造は、一端において連結された互いに反対方向に回転する 1対の駆動アームを有する駆動機構を備え、駆動アームが端部を中心として回転 すると、それら自由端が(中心線に関して)鏡映対称(ミラー・イメージ)の半 円弧軌道に沿って移動する。互いに離間された2対の前部アームは、駆動アーム の自由端の間に結合され、また、6対の前部アームは前部アーム相互の接続く部 において保持手段(エンドイフェクタなど)を支持する。2対の前部アームによ って形成されたリンク構造は、通常は純粋の菱形ではなく、偏菱形である。詳し くは、前部アームの6対は、駆動アームと共に偏菱形の駆動構造を形成する。
駆動アームが一方の移動端に位置する状態(この状態では、駆動アーム同士が実 質的に隣合い、1つの保持手段が駆動アームの連結端部から突き出される)から 「一旦停止jの位置(この状態では、駆動アームは互いに直線状に拡開される) に移動するとき、突き出されている保持手段は一旦停止位置に向かって急速に移 動し、偏菱形リンク構造によって形成される面積は中心線に沿った狭い帯形から 正方形にまで拡張し、その後、収縮して中心線と直交する狭い帯形となる。駆動 アームと引戻される保持手段を有する前部アームとによるg*形槽構造よって形 成される面積は実質的にリンク構造と同じような形状の変化を示す。一方、駆動 アームと引戻されない側の保持手段を有する前部アームによって形成される面積 はわずかであり、従って、引戻されない儂の保持手段はわずかじか移動しない。
駆動アームが「一旦停止」位置を越えて、反対側の移動端(この状態では、駆動 アーム同士が実質的に隣合い、かつ他方の側の保持手段が駆動アームの連結端部 から突き出される)に向かうとき、上記の一旦張り出され、その後引戻された保 持手段はわずかじか移動せず、偏菱形リンク構造によって形成される面積は中心 線と直交する狭い帯形から正方形まで拡張され、その後、中心線に沿った狭い帯 形にまで収縮される。駆動アームと新たに張り出される保持手段を有する前部ア ームとによって形成される偏菱形面積は、リンク構造と実質的に同じような形状 な変化をもたらす。一方、駆動アームと引戻される保持手段を有する前方アーム によって形成される面積はわずかじか変化しない。
このように、本発明の特徴は、上記の菱形構造の特性を偏菱形構造に応用し、単 一の駆動機構によって2つのエンドイフェクタに異なった速度を付与するように したものである。
すなわち、本発明によれば、第2のエンドイフェクタには大きな加速あるいは減 速を与えずに、引戻されるアームを非常に急速に、かつ安全に加速及び減速する ことができる。従って、伸長位置のエンドイフェクタはウェハを受け渡した徨に 空になるが、引戻位置のエンドイフェクタはウェハで占有されたままになる場合 においては、動作能力の点で利点をもたらすことができる。多くの用途で、ウェ ハは表面摩擦のみでエンドイフェクタに保持されているので、ウェハを保持する エンドイフェクタの急速な加速あるいは減速によりウェハがエンドイフェクタ上 でずれてしまう恐れがあり、これは好ましくないことである。
図IA、IB及びICは、本発明による関節アーム組立体10を示している。
関節アーム組立体10は、1対の第1前部アーム12と13、及び一対の第2前 部アーム14と15を備えている。各前部アームは、1つの上部アーム(前部ア ーム12及び13の下側に示されている)とビン結合16のような適当な手段に よって関節接合されている。これらの前部アームは、上部アーム17と18(図 IBを参照)によって駆動される。
図IA、IB及びICは、関節アーム組立体10の3つの基本的な位置を示して いる。図IAは、基台19に対して実質的に完全に左側に伸長された位置にある 組立体10を示す。図IBは、基台19に対して一旦停止位置、即ち“原”位置 にある組立体1oを示す。そして、図10は、基台19に対して実質的に十分に 右側に伸長された位置にある組立体10を示す。
図2に示すように、上部アーム17と18は一般的に円形ギア20と21をそれ ぞれ備えている。ギア20と21は、上部アーム17と18の一部として一体的 に形成するか、あるいは個々に形成してから適当な固定方法によって上部アーム に固定してもよい。ギア20は、駆動ビニオン22と操作可能に連結されている 。ギア20が駆動ビニオン22によって駆動され、そのギア20がギア21を駆 動する。駆動ギア20と21及びビニオン22の代わりに、摩擦表面あるいはバ ンド・ドラム組立体のような適当な駆動機構を用いてもよい0図2B、2C及び 2Dは、適切なバンド・ドラム組立体を示す。これらの図において、ドラム10 0は2つのバンド102及び103によってドラム101と連結している。バン ド102は、ねじ104(あるいはリベット又は溶接のような他の取付手段)に よってドラム101に固定され、バンド102は、ねじ105によってドラム1 00に固定される。バンド103は、ねじ107によってドラム100に固定さ れる。バンド103は、ねじ107によってドラムエ00に固定される。バンド 102と103には張力がかけられ、これらは金属によって構成してもよい。
各バンドは略S字形の形状を有し、この形状は2つのバンドにおいて互いに逆に なっている。こうしてバンド100が時計回りに回転すると、ねじ105はバン ド102を引っ張り、これによって、ねじ104が引っ張られ、ドラム101は 反時計回りに回転する。バンド100が反時計回りに回転すると、ねじ107は バンド103を引っ張り、これによって、ねじ】06が引っ張られ、ドラム10 1は時計方向に回転する。従って、ドラム100と1.01のいずれかが回転す ると、他のドラムは反対方向に回転する。
図4に示すように、前部アーム12と13のリスト関節部は、半円形の回転防止 ギア23と24を備える。物品を運搬する載置台(あるいはエンドイフェクタ又 は池の適切な保持具)は、回転防止ギア23と24に軸受26と27によって連 結されている。この回転防止ギア23と24の代わりに、jiI#l!1表面あ るいはドラム・バンド組立体のような上部アーム12と13の端部の回転を防ぐ 適当な手段を用いてもよい。同様に、前部アーム14と15は、回転防止ギアな どとそれに連結される載置台を備える。
駆動ビニオン22が時計回りに回転すると、ギア20と駆動アーム17は反時計 回りに回転し、ギア21と駆動セグメント18は時計回りに回転することは明ら かである。
その結果、前部アーム14と15は「蛙に似た」動作で引き戻され、載置台25 ゛を駆動ギア20と21に向かって移動させる。回転防止ギア23′と24′は 載置台25′の旋回を防ぎ、これによって、被移送物を直線的に移動させること ができる。
載置台25゛が駆動ギア20と21に向かって引き戻されると、前部アーム12 と13は、それらの上部アーム12と13間の角度「a」 (図4を参照)が大 きくなるように移動する。しかし、駆動ギア20と21を十分に越え、完全に左 側に伸長した位置にある載置台25は、図IBに示される一旦停止位置で最大速 度に達するまで、停止状態から非常にゆっくりと加速される(動作制御曲線によ る制#)、その後、載置台25″は、駆動ギア20と21を十分に越える位置に 向かって移動し、前部アーム12と13は伸長を続ける。
種々の理由によって、前部アーム12.13.14及び15の長さくすなわち、 旋回軸間の距離にリスト旋回軸と中心線との間の離隔距離を加えた大きさ)は、 上部アーム17ど18の長さくすなわち、旋回軸間の距離にショルダー旋回軸と 中心線との間の離隔距離を加えた大きさ)より大きく設定しなければならない。
滑らかな動きを達成するためには、前部アームを上部アームよりわずかに(例え ば、約0.25インチ)長く設定するとよい。この前部アームの長さは、被移送 物の寸法に依存する。物品が大きいほど、アームを長く設定する必要がある。
図2は、本発明にも利用できる従来装置の分解等角投影図である。関節アーム組 立体10は、ショルダー関節部50と51を介してC字形の支持体30に配置さ れている。電動モータ31は支持体30に取り付けられ、駆動ビニオン22に接 続されている。駆動ビニオンはギア20と係合し、ギア20がギア21を駆動し 、これによって、関節アーム組立体10を前記のように伸縮する。支持体30は 、第2のC字形支持体33に軸支された軸32と連結されている。軸32は、電 動モータ35と接続される回転ギア34を備え、関節アーム組立体10を全体的 に回転する。本発明によれば、アームが一旦停止位置にあるとき、載1台25と 25′は、はとんど軸32上の中心にあり、移送される1つあるいは複数の基板 にかかる遠心力を最小化することができる。
支持体33は、C字形支持体37と38及び可撓体41〜44を介して基台36 と連結されている。ソレノイドのような上昇機構40が基台36に配置され、レ バー45と結合されている。レバー45は軸32の下方に配置されている。レバ ー45の一端は、基台36に配置された第1支点46として作用し、第2支点( 図2Aを参照)は軸32の底部に配置されている。機構40が作動されると、軸 32は軸方向において上方に変位し、可撓体41〜44を撓ませる。軸32が軸 方向に変位すると、関節アーム組立体10もまた全体的に軸方向に変位するこは 明らかである。
本発明によれば、関節アーム組立体10を精密に制御するために、モータ31と 35及び上昇機$1140の内、1つ以上は電子論理回路(図2には図示せず) によって制御される。
前部アーム12.13.14及び15は、関節部が前記の動きに悪影響を与えな いような適当な手段によって上部アーム]7と18に連結される。図3は、互い に対向する各前部アームが同じ軸に結合されている単一軸のエルボ−関節の実施 例を示す。前部アーム15は、その連結端において、エルボ−軸82が貫通する 穴を有するC字形部80を備えている。同様に、前部アーム13は、その連結端 において、エルボ−軸82が貫通する穴を有するC字形部81を備えている。
エルボ−軸82は上部アーム18に固定され、この上部アームと協働してエルボ −関節部を形成する。前部アーム13は段付部83を有し、載置台25は載置台 25゛と同じ水平面内にある。
図5及び図6は、本発明の装置の動作と一対の前部アームしか有しない従来装置 の動作を比較した例を示す。これらの図において、モジュール(構成単位)1は ウェハが処理される領域を、そしてモジュール2及び3はそれぞれ処理されたウ ェハと未処理のウェハを保持する領域を示している。表1及び表2は、それぞれ 、図5と図6に対応する関節アーム組立体の位置とプロセスモジュールの状態を 示す。
表1 図5 関節アーム組立体の位置 モジュール1の状態a ウェハAをモジュール 3から取出す 作動(ウェハBを処理)b 一旦停止 不作動 (ウェハBの処理が完了) CウェハBをモジュールlから取出す 不作動d 一旦停止 不作動 e 180’回転 不作動 f ウェハAをモジュール1に載置 不作動g 一旦停止 作動くウェハAを処 理)h 90’回転 作動 i ウェハBをモジュール2に載置 作動J 一旦停止 作動 図6 関節アーム組立体の位置 モジュール1の状態a 一旦停止 不作動 (ウェハBの処理が完了) b ウェハBをモジュール1から取出す 不作動C一旦停止 不作動 d 90@回転及びウェハBを モジュール2に載置 不作動 e 一旦停止 不作動 f 90’回転及びウェハAを取り出す 不作動g 一旦停止 不作動 h 180’回転 不作動 i ウェハAをモジュール1に載置 不作動j 一旦停止 作動(ウェハAを処 理)上記より、本発明の複合アームは、プロセスモジュールの不作動時間を最小 化し、それによってシステムの処理能力を向上させるという利点を有することが 判る。
本発明はまた、第1エンドイフエクタを伸長させて基板をプロセスモジュールに 受け渡すとき、第2エンドイフエクタ上の基板の中心は、駆動軸の位置を越えて その開放されたプロセスモジュールに接近することがない、という点に利点があ る。これによって、第2基板はガスあるいは粒子によって汚染されたり、又は開 いているプロセスモジュールの近傍において加熱あるいは冷却によって影響され ることがない。図7は、本発明のこの特徴を詳細に示される。
図8は、同じアームが一旦停止位置にある状態を示している。この設計では、エ ンドイフエクタは、アームが一旦停止位置にあるとき、回転中心に非常に接近す る。
エンドイフェクタの動きに対して速度及び/又は加速度の曲線を制御するのに、 電子的あるいは機械的な手段を利用することができる0図11に示すように、動 作パターンを与える代表的なシステムは、IBMのPCコンピュータと、そのコ ンピュータにシリアルの通frillを介して接続され、ハーモニックドライブ (RH−116001−ElooA)のようなギアモータ/エンコーダパッケー ジを制御するブルックス・オートメーション社の制御PCボード#11951に よって構成される。
以」二、実施例とともに本発明の原理を述べたが、実施例における特定の表現は 包括的な例示であって、以下に述べる請求の範囲に述べられた本発明の範囲を限 定するものではない。
PRIORART FIG、2B FIG、4 0 逼 迫 迫 FIG、9

Claims (9)

    【特許請求の範囲】
  1. 1.物品を移送する装置であって、 支持体と、 第1軸心の回りに回転可能に前記支持体に支持された第1上部アームと、第2軸 心の回りに回転可能に前記支持体に支持された第2上部アームと、前記第1及び 第2上部アーム間に接続され、前記第1上部アームの回転によって前記第2上部 アームを駆動する手段と、前記第1及び第2上部アームと関節接合された1対の 第1前部アームと、前記第1及び第2上部アームと関節接合された1対の第2前 部アームとを備え、前記上部アームのそれぞれは、前記前部アームよりも長さが 短く、さらに1対の前記第1前部アームに旋回可能に連結された第1保持手段と 、1対の前記第2前部アームに旋回可能に連結された第2保持手段と、1対の前 記第1前部アーム間に接続されて前記第1保持手段の回転を防止する係合手段と 、1対の前記第2前部アーム間に接続されて前記第2保持手段の回転を防止する 係合手段と、 前記第1上部アームを120°を越えて180°以下の角度範囲内で回転回転可 能に駆動し、前記第1保持手段を第1伸長位置と第1引戻し位置間で移動させ、 同時に前記第2保持手段を第2引戻し位置と第2伸長位置間で移動させる手段と を含む装置。
  2. 2.請求項1記載の装置において、前記支持体に連結され、前記支持体を前記第 1軸心に対して実質的に平行な方向に移動する手段を更に含む装置。
  3. 3.請求項1記載の装置において、前記前部アームのそれぞれがピン結合部によ って前記上部アームの1つに関節結合された装置。
  4. 4.物品を移送する装置であって、 支持体と、 前記支持体に支持され、回転軸を形成する第1ショルダー旋回軸と、前記支持体 に支持され、前記第1ショルダー旋回軸と実質的に平行な第2ショルダー旋回軸 とを備え、前記第1及び第2ショルダー旋回軸から等距離の中心線は前記支持体 を横切って延び、また 前記第1ショルダー旋回軸に回転可能に取り付けられ、前記第1ショルダー旋回 軸から上部アーム長さだけ離間された少なくとも1つの第1エルボー旋回軸から なる第1エルボー関節手段を有する第1上部アームと、前記第2ショルダー旋回 軸に回転可能に取り付けられ、前記第2ショルダー旋回軸から上部アーム長さだ け離間された少なくとも1つの第2エルボー旋回軸からなる第2エルボー関節手 段を有する第2上部アームと、前記第1エルボー旋回軸に回転可能に取り付けら れ、前記第1エルボー旋回軸から一次側前部アームの長さだけ離間された一次側 第1リスト旋回軸を有する一次側第1前部アームと、 前記第2エルボー旋回軸に回転可能に取り付けられ、前記第2エルボー旋回軸か ら一次側前部アームの長さだけ離間された一次側第2リスト旋回軸を有する一次 側第2前部アームと、 前記一次側リスト旋回軸に取り付けられた一次側保持手段と、前記一次側リスト 旋回軸間に設けられ、前記一次側支持体の回転を防いでその連動を前記中心線に 沿った移動に制限する一次側連結機構とを備え、前記一次側前部アーム長さに前 記一次側リスト旋回軸のいずれかと前記中心線との間の離隔距離を加えた合計の 大きさが、前記エルボー関節部のいずれかと前記中心線との間の最大離隔距離よ りも大きく、さらに前記第1エルボー旋回軸に回転可能に取り付けられ、前記第 1エルボー旋回軸から二次側前部アームの長さだけ離間された二次側第1リスト 旋回軸を有する二次側第1前部アームと、 前記第2エルボー旋回軸に回転可能に取り付けられ、前記第2エルボー旋回軸か ら二次側前部アームの長さだけ離間された二次側第2リスト旋回軸を有する二次 側第2前部アームと、 前記二次側リスト旋回軸に取り付けられた二次側保持手段と、前記二次側リスト 旋回軸間に設けられ、前記二次側保持手段の回転を防いでその運動を前記中心線 に沿った移動に制限する二次側連結機構とを備え、前記二次側前部アーム長さに 前記二次側リスト旋回軸のいずれかと前記中心線との間の離隔距離を加えた合計 の大きさが、前記エルボー関節部のいずれかと前記中心線との間の最大離隔距離 よりも大きく、さらに前記第第1上部アームを120°を越えて180°以下の 角度範囲内で回転可能に駆動し、前記一次側保持手段を一次側伸長位置と一次側 引戻し位置間で移動させ、同時に前記二次側保持手段を二次側引戻し位置と二次 側伸長位置間で移動させる手段とを含む装置。
  5. 5.請求項4記載の装置において、前記支持体を前記回転軸心に対して実質的に 平行な方向に移動させる手段をさらに含む装置。
  6. 6.請求項4記載の装置において、前記第1及び第2上部アーム間に連結される 連結機構構造をさらに含む装置。
  7. 7.1対の互いに離間した保持手段をそれぞれ中心線に沿って別々に移動させる 装置であって、 一端で連結された1対の逆方向に回転可能な駆動アームを備えた駆動機構であっ て、連結された各端部を中心として駆動アームが回転すると、この駆動アームの 自由端が(中心線に対して接近/離間する)鏡映対称(ミラー・イメージ)の半 円弧状に沿って移動するような駆動機構と、2対の互いに離間された前部アーム と保持手段とを含む偏菱形状の構造物であって、それぞれの前部アーム対は前記 中心線に沿って移動する前部アーム相互間の連結部を有し、さらにそれぞれの前 部アームの対は駆動アームの前記自由端の間に接続され、前記自由端の前記移動 によって前記前部アームの相互間連結部が前記中心線に沿って移動するようにし 、前記保持手段は前記前部アームの相互間連結部それぞれによって支持される偏 菱形状の構造物とを含む装置。
  8. 8.請求項7記載の装置において、アームの全組立体を回転軸心に対して実質的 に平行な方向に移動させる手段を更に含む装置。
  9. 9.請求項7記載の装置において、各対内の前部アームの自由端のそれぞれが1 つの旋回軸を介して対応する保持手段に連結され、この旋回軸は、対内の他方の 前部アームの自由端の旋回軸に対して隣接状態で離間されており、前記前部アー ムの各対は前記保持手段の回転を防止する手段と係合されている装置。
JP51254194A 1991-04-18 1992-12-30 関節アーム式移送装置 Expired - Lifetime JP3419457B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/687,288 US5180276A (en) 1991-04-18 1991-04-18 Articulated arm transfer device
PCT/US1992/011066 WO1994015760A1 (en) 1991-04-18 1992-12-30 Articulated arm transfer device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002323543A Division JP3739738B2 (ja) 2002-11-07 2002-11-07 関節アーム式移送装置

Publications (2)

Publication Number Publication Date
JPH07504128A true JPH07504128A (ja) 1995-05-11
JP3419457B2 JP3419457B2 (ja) 2003-06-23

Family

ID=26785245

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51254194A Expired - Lifetime JP3419457B2 (ja) 1991-04-18 1992-12-30 関節アーム式移送装置

Country Status (5)

Country Link
US (1) US5180276A (ja)
EP (1) EP0631535B1 (ja)
JP (1) JP3419457B2 (ja)
DE (2) DE69225908T2 (ja)
WO (1) WO1994015760A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6247889B1 (en) 1998-07-31 2001-06-19 Bks Lab. Ltd. Multiple-shaft power transmission apparatus and wafer transport arm link
US9138901B2 (en) 2010-09-16 2015-09-22 Tokyo Electron Limited Transfer device, substrate processing system and posture control unit
JP2017504492A (ja) * 2014-01-17 2017-02-09 ブルックス オートメーション インコーポレイテッド 基板搬送装置
US9764465B2 (en) 2013-06-28 2017-09-19 Daihen Corporation Transfer apparatus

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
DE69032945T2 (de) * 1989-10-20 1999-09-16 Applied Materials Inc Robotereinrichtung
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
JPH0536809A (ja) * 1991-07-31 1993-02-12 Mitsubishi Electric Corp 半導体基板処理装置に於ける半導体基板搬送アーム
DE4238834A1 (en) * 1991-11-18 1993-05-19 Fusion Systems Corp Robotic semiconductor wafer transporter with vertical photodetector array - scans detectors to determine which slots are occupied by wafers illuminated from emitter on robot arm
JP2973059B2 (ja) * 1992-08-18 1999-11-08 東京エレクトロン株式会社 基板搬送装置
US5431529A (en) * 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
US5376862A (en) * 1993-01-28 1994-12-27 Applied Materials, Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
CN1046654C (zh) * 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
DE634783T1 (de) * 1993-07-16 1996-02-15 Semiconductor Systems Inc Thermische Behandlungsmodul für Beschichtungs/Entwicklungseinrichtung für Substrat.
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5447431A (en) * 1993-10-29 1995-09-05 Brooks Automation, Inc. Low-gas temperature stabilization system
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5569014A (en) * 1994-08-08 1996-10-29 Brooks Automation, Inc. Frog-leg robot having walking-beams
US5655060A (en) * 1995-03-31 1997-08-05 Brooks Automation Time optimal trajectory for cluster tool robots
US5746566A (en) * 1995-04-26 1998-05-05 Design Systems, Inc. Apparatus for a moving workpiece
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US6231297B1 (en) 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
US5647724A (en) * 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
US6481956B1 (en) 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
KR100430885B1 (ko) * 1995-12-15 2004-06-16 브룩스 오토메이션 인코퍼레이티드 관절아암을 구비한 물체이송장치
US20040005211A1 (en) * 1996-02-28 2004-01-08 Lowrance Robert B. Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5801945A (en) * 1996-06-28 1998-09-01 Lam Research Corporation Scheduling method for robotic manufacturing processes
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
KR970061464A (ko) * 1997-02-28 1997-09-12 조셉 스위니 다수 독립 로봇 조립체 및 반도체 웨이퍼 이송과 처리용 장치
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6155773A (en) * 1997-09-22 2000-12-05 Applied Materials, Inc. Substrate clamping apparatus
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
US6547510B1 (en) 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6224319B1 (en) 1998-07-10 2001-05-01 Equibe Technologies Material handling device with overcenter arms and method for use thereof
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
WO2000005762A1 (fr) 1998-07-22 2000-02-03 Tokyo Electron Limited Bras de transfert
JP3863671B2 (ja) * 1998-07-25 2006-12-27 株式会社ダイヘン 搬送用ロボット装置
US6464448B1 (en) 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
TW418429B (en) 1998-11-09 2001-01-11 Tokyo Electron Ltd Processing apparatus
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
CA2375872A1 (en) 1999-06-04 2000-12-14 Stephen J. Derby Material handling device
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6276284B1 (en) 1999-10-22 2001-08-21 Genesis Systems Group Side-by-side robot workcell
US6537011B1 (en) 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
TW511220B (en) * 2000-10-24 2002-11-21 Ulvac Corp Carrying device and vacuum processing apparatus using the same
US6499936B2 (en) * 2001-02-17 2002-12-31 Yokogawa Electric Corporation Transfer system
US6643563B2 (en) 2001-07-13 2003-11-04 Brooks Automation, Inc. Trajectory planning and motion control strategies for a planar three-degree-of-freedom robotic arm
JP5134182B2 (ja) * 2001-07-13 2013-01-30 ブルックス オートメーション インコーポレイテッド 独立多エンドエフェクタを備えた基板移送装置
NL1020291C2 (nl) * 2002-04-02 2003-10-03 Mapper Lithography Ip Bv Verplaatsingsinrichting voor in een vacuumkamer.
JP4000036B2 (ja) * 2002-09-30 2007-10-31 東京エレクトロン株式会社 搬送装置
KR100471088B1 (ko) * 2003-02-07 2005-03-10 삼성전자주식회사 이송장치
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
KR101825595B1 (ko) * 2007-07-17 2018-02-05 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
US8308151B2 (en) * 2008-11-03 2012-11-13 Comau Inc. Elevator assembly for robotic positioning of a workpiece
BR112013002061A2 (pt) 2010-07-28 2016-05-24 Medrobotics Corp sistema de suporte e posicionamento cirúrgico
CN103237634B (zh) 2010-10-08 2016-12-14 布鲁克斯自动化公司 同轴驱动的真空机器人
US8992421B2 (en) 2010-10-22 2015-03-31 Medrobotics Corporation Highly articulated robotic probes and methods of production and use of such probes
AU2011338931B2 (en) 2010-11-11 2017-02-09 Medrobotics Corporation Introduction devices for highly articulated robotic probes and methods of production and use of such probes
US9186799B2 (en) 2011-07-13 2015-11-17 Brooks Automation, Inc. Compact direct drive spindle
JP6395605B2 (ja) 2011-09-13 2018-09-26 メドロボティクス コーポレイション アンチツイストリンク配置を有する高アーティキュレーテッドプローブ、その形成方法及び医療手順実行方法
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
US9401296B2 (en) 2011-11-29 2016-07-26 Persimmon Technologies Corporation Vacuum robot adapted to grip and transport a substrate and method thereof with passive bias
JP6449018B2 (ja) 2011-12-21 2019-01-09 メドロボティクス コーポレイション アーティキュレーティングプローブを駆動する装置
JP6665095B2 (ja) 2013-08-26 2020-03-13 ブルックス オートメーション インコーポレイテッド 基板搬送装置
JP6918770B2 (ja) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
KR20230113410A (ko) 2015-07-13 2023-07-28 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
TWI815869B (zh) 2018-03-16 2023-09-21 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
TW202012128A (zh) * 2018-06-27 2020-04-01 美商法伯沃克斯分解股份有限公司 配備有彎管硬停件之機械臂總成

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1190215A (en) * 1913-06-17 1916-07-04 Joseph Becker Linkage.
US2282608A (en) * 1940-04-17 1942-05-12 Sun Rubber Co Hoist
US3730595A (en) * 1971-11-30 1973-05-01 Ibm Linear carrier sender and receiver
US3823836A (en) * 1973-05-22 1974-07-16 Plat General Inc Vacuum apparatus for handling sheets
US3874525A (en) * 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
US4062463A (en) * 1976-05-11 1977-12-13 Machine Technology, Inc. Automated single cassette load mechanism for scrubber
US4208159A (en) * 1977-07-18 1980-06-17 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for the treatment of a wafer by plasma reaction
IT1144724B (it) * 1981-06-03 1986-10-29 Comau Spa Apparecchio manipolatore a due bracci contrapposti
US4909701A (en) * 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) * 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
JPS61244475A (ja) * 1985-04-22 1986-10-30 株式会社東芝 産業用ロボツト
US4721971A (en) * 1986-04-07 1988-01-26 Scott Joel E Photograph logging apparatus and method
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
JP3196218B2 (ja) * 1991-01-10 2001-08-06 ソニー株式会社 ウエハ搬送装置とウエハ搬送方法
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
DE9200071U1 (de) * 1992-01-04 1992-04-30 G + W Bühler Maschinenbau GmbH & Co. KG, 7836 Bahlingen Handhabungsgerät
JPH0773833B2 (ja) * 1992-04-23 1995-08-09 アプライド マテリアルズ インコーポレイテッド ロボット・アセンブリ

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6247889B1 (en) 1998-07-31 2001-06-19 Bks Lab. Ltd. Multiple-shaft power transmission apparatus and wafer transport arm link
US9138901B2 (en) 2010-09-16 2015-09-22 Tokyo Electron Limited Transfer device, substrate processing system and posture control unit
US9764465B2 (en) 2013-06-28 2017-09-19 Daihen Corporation Transfer apparatus
US10144127B2 (en) 2013-06-28 2018-12-04 Daihen Corporation Transfer apparatus
JP2017504492A (ja) * 2014-01-17 2017-02-09 ブルックス オートメーション インコーポレイテッド 基板搬送装置
JP2021106288A (ja) * 2014-01-17 2021-07-26 ブルックス オートメーション インコーポレイテッド 搬送装置および処理装置
US11273558B2 (en) 2014-01-17 2022-03-15 Brooks Automation Us, Llc Substrate transport apparatus

Also Published As

Publication number Publication date
WO1994015760A1 (en) 1994-07-21
EP0631535A4 (en) 1995-04-26
US5180276A (en) 1993-01-19
EP0631535A1 (en) 1995-01-04
EP0631535B1 (en) 1998-06-10
DE631535T1 (de) 1995-08-24
DE69225908T2 (de) 1998-12-10
DE69225908D1 (de) 1998-07-16
JP3419457B2 (ja) 2003-06-23

Similar Documents

Publication Publication Date Title
JPH07504128A (ja) 関節アーム式移送装置
US5431529A (en) Articulated arm transfer device
JP2598353B2 (ja) 基板処理装置、基板搬送装置及び基板交換方法
US6132165A (en) Single drive, dual plane robot
JP3204115B2 (ja) ワーク搬送ロボット
US5954472A (en) Batch loader arm
US5743704A (en) Wide wrist articulated arm transfer device
JP2002518838A (ja) 超クリーン保管容器の自動開閉装置
JP2004505789A (ja) ロボット運動補償装置
TW473787B (en) Multiple sided robot blade for semiconductor processing equipment
WO1995014555A1 (en) Multi-joint arm type carrying device
CN101253616A (zh) 工件传送装置
KR100281454B1 (ko) 로드로크용 로드암
JP2000077499A (ja) 基板処理装置
US20010033788A1 (en) Dual multitran robot arm
US5569014A (en) Frog-leg robot having walking-beams
JP3739738B2 (ja) 関節アーム式移送装置
JPS6395631A (ja) ダイボンデイング装置
JP2008254138A (ja) 多関節ロボット
JPS63500691A (ja) 標準機械的インタ−フェ−ス装置用のマニピュレ−タ
JP2957854B2 (ja) 基板処理装置
JPS6263207A (ja) 把持装置
JP7237635B2 (ja) 保持装置および保持方法
CN117001645A (zh) 一种二自由度平面并联机器人机构
CN116654490A (zh) 一种用于传送晶圆的机械臂

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090418

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090418

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100418

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100418

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110418

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120418

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130418

Year of fee payment: 10

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130418

Year of fee payment: 10