JPH06163723A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JPH06163723A
JPH06163723A JP4317005A JP31700592A JPH06163723A JP H06163723 A JPH06163723 A JP H06163723A JP 4317005 A JP4317005 A JP 4317005A JP 31700592 A JP31700592 A JP 31700592A JP H06163723 A JPH06163723 A JP H06163723A
Authority
JP
Japan
Prior art keywords
film
forming
bpsg
insulating film
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4317005A
Other languages
English (en)
Other versions
JP2809018B2 (ja
Inventor
Yukinobu Murao
幸信 村尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP4317005A priority Critical patent/JP2809018B2/ja
Priority to EP93119027A priority patent/EP0599317B1/en
Priority to DE69323396T priority patent/DE69323396T2/de
Priority to KR93025442A priority patent/KR0119189B1/ko
Publication of JPH06163723A publication Critical patent/JPH06163723A/ja
Priority to US08/411,390 priority patent/US5518962A/en
Application granted granted Critical
Publication of JP2809018B2 publication Critical patent/JP2809018B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

(57)【要約】 【目的】微細化された半導体素子を有する半導体装置に
適した層間絶縁膜を提供する。 【構成】半導体素子がノンドープのCVDシリコン酸化
膜110により覆われ、この上にBPSG膜120,オ
ゾン・テオスNSG膜130a,およびオゾン・テオス
NSG膜131からなる層間絶縁膜が形成されている。
このBPSG膜120の膜厚は50nm以上,200n
m以下であり、このBPSG膜120は700℃以上,
800℃以下の温度で熱処理される。上記オゾン・テオ
スNSG膜130a,131も、それぞれ700℃以
上,800℃以下の温度で熱処理さる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置およびその製
造方法に関し、特に高融点導電体材料からなる下層配線
層とアルミニウム系金属膜からなる上層配線との間に設
けられる層間絶縁膜とその製造方法に関する。
【0002】
【従来の技術】従来のアルミニウム系金属膜からなる上
層配線を有する半導体装置,例えばMOS半導体装置で
は、層間絶縁膜としてBPSG膜が広く用いられてい
た。このようなMOS半導体装置の形成方法の要旨は以
下の通りである。シリコン基板表面に拡散層および多結
晶シリコン配線を含んでなる半導体素子が形成され、全
面にノンドープの絶縁膜が形成される。その後、全面に
BPSG膜が形成される。このノンドープの絶縁膜は、
このBPSG膜からのリン,あるいはボロンが上記拡散
層に拡散されるのを防ぐためである。このBPSG膜
は、例えば900℃程度の窒素あるいはスチーム雰囲気
での熱処理によりリフローされ、表面がなめらかにな
る。BPSG膜をリフローするためには、850℃以上
の温度が必要である。このBPSG膜とノンドープの絶
縁膜とに開口部が設けられ、アルミニウム系金属膜から
なる上層配線が形成される。層間絶縁膜としてBPSG
膜が採用された主なる理由は、ゲッタリング性とこのリ
フロー性とにある。
【0003】
【発明が解決しようとする課題】半導体素子の微細化が
進むと、BPSG膜のみで層間絶縁膜を形成する際に、
BPSG膜のリフローに関連した問題が生じる。
【0004】半導体装置の断面図である図6とBPSG
膜の段差被覆性を示すグラフである図7とを併せて参照
すると、成膜した段階でのBPSG膜の段差被覆性に依
存すしてボイドが発生する。
【0005】まず、P型シリコン基板201a上にシリ
コン酸化膜211aが形成され、シリコン酸化膜211
a上に多結晶シリコンゲート電極206aが形成され、
全面に膜厚bのBPSG膜220が形成される場合につ
いて考察する〔図6(a)〕。このBPSG膜220の
リン,およびボロンの濃度は、それぞれ5mol%であ
る。このときの多結晶シリコンゲート電極206aの側
面に形成されたBPSG膜220の最小膜厚がaである
とする。このとき、a/bは、段差被覆性を示すパラメ
ータとなる。このa/bのBPSG膜220膜厚bに対
する依存性は、図7のようになる。すなわち、BPSG
膜220の膜厚bが200nm以下ならば、成膜段階で
の段差被覆性は極めて良好であるが、200nmより厚
いと成膜段階での段差被覆性は悪くなる。しかしなが
ら、BPSG膜220の膜厚bが200nm以下である
と、多結晶シリコンゲート電極とアルミニウム系金属膜
からなる上層配線との間の浮遊容量が大きくなり、好ま
しくない。
【0006】次に、BPSG膜の膜厚が200nmより
厚い場合について述べる。P型シリコン基板201b上
にシリコン酸化膜211bが形成され、シリコン酸化膜
211b上に間隔0.5μmを持って複数の多結晶シリ
コンゲート電極206bが形成され、全面に例えば膜厚
250nmのBPSG膜221が形成される〔図6
(b)〕。BPSG膜221のリン,およびボロンの濃
度は、BPSG膜220のリン,およびボロンの濃度と
同じである。この場合、(段差被覆性が良好ならば成膜
段階でBPSG膜221表面は概略平坦であるが)図7
に示した結果から明かなように、BPSG膜221は成
膜段階でボイド215が発生する。
【0007】このボイド215は、BPSG膜221が
リフローされる段階で消滅する。このリフローは前述の
ように850℃以上の温度が必要である。しかしながら
800℃より高い温度で熱処理を行なうと、MOSトラ
ンジスタのソース,ドレイン領域の接合の深さが増大
し、ショートチャネル効果が大きくなる。この現象は、
特にPチャネルMOSトランジスタで顕著である。この
ため、リフローしたBPSG膜により層間絶縁膜を構成
することは、微細化された半導体素子を有する半導体装
置には適さなくなる。
【0008】近年、段差被覆性の良好な絶縁膜として、
オゾン(O3 )とテトラ・エトキシ・シラン(Si(O
2 5 4 :以後、テオスと記す)との化学気相反応
によるノンドープのシリコン酸化膜(以後、このシリコ
ン酸化膜をオゾン・テオスNSG膜と記す。NSGはN
ondoped Silicate Glassの略)
が着目されている。
【0009】このオゾン・テオスNSG膜のみで層間絶
縁膜を構成するには、別の問題点がある。その1つは、
このオゾン・テオスNSG膜自体にゲッタリング機能が
無いことである。さらにこのオゾン・テオスNSG膜
は、成膜段階での含水率が高く、熱処理が必要である。
この熱処理の際に、水分が半導体素子の側にも移動す
る。このことから、このオゾン・テオスNSG膜のみで
層間絶縁膜を構成すると、半導体素子の電気特性が劣化
するという問題がある。
【0010】本発明の目的は、微細化された半導体素子
を有する半導体装置において、ゲッタリング機能を有
し,平坦性の優れた表面を有し,かつ半導体素子の電気
特性を劣化させない層間絶縁膜を提供することにある。
【0011】
【課題を解決するための手段】本発明の半導体装置は、
高融点導電体材料からなる下層配線層とアルミニウム系
金属膜からなる上層配線との間の層間絶縁膜が、少なく
ともBPSG膜および該BPSG膜上に積層されたオゾ
ンとテトラ・エトキシ・シランとの化学気相反応による
ノンドープのシリコン酸化膜を含む表面が平坦化された
層間絶縁膜を有する。好ましくは、前記BPSG膜の膜
厚が50nm以上,200nm以下である。
【0012】また、本発明の半導体装置の製造方法は、
シリコン基板表面に拡散層,および多結晶シリコン配線
含んでなる半導体素子を形成し、全面にノンドープの絶
縁膜を形成する工程と、上記ノンドープの絶縁膜上に膜
厚が50nm以上,200nm以下のBPSG膜を形成
し、第1の熱処理を行なう工程と、上記BPSG上にオ
ゾンとテトラ・エトキシ・シランとの化学気相反応によ
るノンドープのシリコン酸化膜を形成し、第2の熱処理
を行なう工程と、上記ノンドープのシリコン酸化膜の表
面にSOG膜を塗布焼成,もしくはフォトレジスト膜を
形成し、上記SOG膜,もしくは上記フォトレジスト膜
が完全に除去されるまでエッチバックを行ない、上記ノ
ンドープのシリコン酸化膜の表面を平坦化する工程と、
上記拡散層,および上記多結晶シリコン配線にそれぞれ
に達する開口部を形成する工程と、アルミニウム系金属
膜からなる上層配線を形成する工程と、を有する。好ま
しくは、上記第1,並びに第2の熱処理が700℃以
上,800℃以下で行なわれる。
【0013】
【実施例】次に、本発明について図面を参照して説明す
る。
【0014】半導体装置の製造工程の断面図である図1
を参照すると、本発明の第1の実施例は、以下のように
形成される。
【0015】まず、比抵抗1Ω・cm程度のP型シリコ
ン基板101a表面には、P型チャネルストッパー10
2aおよび膜厚500nm程度のフィールド酸化膜10
3aからなる素子分離領域と、膜厚15nm程度のゲー
ト酸化膜104aとが形成され、ドーズ量3×1012
-2程度のボロンのイオン注入によりチャネルドープ層
105が形成される。このゲート酸化膜104aの所定
個所に開口部(図示せず)が形成される。次に、全面に
膜厚300nm程度のN+ 型多結晶シリコン膜が形成さ
れ、このN+ 型多結晶シリコン膜が通常のフォトリソグ
ラフィとドライエッチングによりパターニングされてゲ
ート長(=L)0.4μmの多結晶シリコンゲート電極
106aが形成される。次に、ドーズ量1×1013cm
-2程度,エネルギー30keV程度のリンのイオン注入
により、P型シリコン基板101a表面にN- 型拡散層
107が形成される。続いて、膜厚150nm程度のノ
ンドープのCVDシリコン酸化膜108が、800℃の
成膜温度で全面に形成される〔図1(a)〕。
【0016】次に、上記CVDシリコン酸化膜108が
異方性ドライエッチングによりエッチバックされ、この
CVDシリコン酸化膜108からなるスペーサ108a
が上記多結晶シリコンゲート電極108aの側面に形成
される。続いて、ドーズ量5×1015cm-2程度,エネ
ルギー30keV程度の砒素のイオン注入により、P型
シリコン基板101a表面にN+ 型拡散層109aが形
成される。次に、全面に膜厚50nm程度のノンドープ
のCVDシリコン酸化膜110が形成される。このCV
Dシリコン酸化膜110は、次に形成されるBPSG膜
からのリン,あるいはボロンがシリコン基板101,も
しくはN- 型拡散層107,N+ 型拡散層109a等に
拡散するのを防ぐためである。
【0017】次に、CVD法により膜厚100nm程度
のBPSG膜120が形成される。このBPSG膜12
0のボロン濃度は約5mol%,リン濃度は約5mol
%である。このBPSG膜120の膜厚は、50nm以
上,200nm以下であることが好ましい。BPSG膜
120の膜厚の上限は以下の理由による。図7に図示し
たように、膜厚が200nm以下であるならばBPSG
膜の段差被覆性が優れているが、膜厚が200nmより
厚くなるとBPSG膜の段差被覆性が悪くなる。一方、
BPSG膜120の膜厚の下限は以下の理由による。こ
のBPSG膜120は、ナトリウム等の外部不純物をゲ
ッタリングすることにより、半導体装置を保護するため
のパッシベーション膜として機能している。BPSG膜
がパッシベーション膜として機能するためには、50n
m以上の膜厚が必要となる。
【0018】続いて、このBPSG膜120に、700
℃以上,800℃以下の温度による第1の熱処理が施さ
れる。第1の熱処理が800℃以下の温度で行なわれる
のは、N+ 型拡散層109a等の接合の深さの増大を防
ぐためである。これにより、例えば、MOSトランジス
タのショートチャネル効果の増大が抑止される。また、
第1の熱処理が700℃以上の温度で行なわれるのは、
成膜段階でのこのBPSG膜120に含まれている水分
を除去するためである。なお、この第1の熱処理は、B
PSG膜をリフローするためではない。
【0019】次に、オゾン(O3 )とテオス(Si(O
2 5 4 )との400℃での化学気相反応(常圧C
VD法)により、全面に平均膜厚800nm程度のオゾ
ン・テオスNSG膜130が形成される。オゾン:テオ
スの流量比は、10:1である。成膜段階でのオゾン・
テオスNSG膜130の平坦性については、後述する。
続いて、700℃以上,800℃以下の温度による第2
の熱処理が施される。この第2の熱処理の温度範囲につ
いては、後述する。次に、全面にSOG膜140が塗布
される。このSOG膜140の膜厚は、厚い部分で30
0nm程度である。SOG膜140が100℃でベーク
された後、400℃の窒素(N2 )雰囲気で熱処理され
る〔図1(b)〕。
【0020】次に、上記SOG膜140が完全に除去さ
れるまでエッチバックが行なわれる。これにより、上記
オゾン・テオスNSG膜130は、平坦化された表面を
有するオゾン・テオスNSG膜130aとなる〔図1
(c)〕。なお、SOG膜140の代りにフォトレジス
ト膜をオゾン・テオスNSG膜130上に形成してエッ
チバックを行なってもよい。
【0021】次に上記多結晶シリコンゲート電極106
aの所定位置,およびN+ 型拡散層109aの所定位置
にそれぞれに達する開口部を形成してもよいが、このオ
ゾン・テオスNSG膜130aの表面は、エッチバック
のため微細な凹凸が形成されている。この微細な凹凸を
緩和しておくことが好ましい。このため、再び全面に膜
厚20nm程度のオゾン・テオスNSG膜131が形成
される。このオゾン・テオスNSG膜131の表面は成
膜段階で平坦である。続いて、上記第2の熱処理と同じ
条件での熱処理が施される。これにより、BPSG膜1
20とオゾン・テオスNSG膜130とオゾン・テオス
NSG膜131とが順次積層された構造の層間絶縁膜が
完成する〔図1(d)〕。なお、上記オゾン・テオスN
SG膜131を形成する代りに、BPSG膜を形成し第
1の熱処理と同じ熱処理を行なうか、あるいは、SOG
膜を塗布,焼成してもよい。
【0022】その後、上記多結晶シリコンゲート電極1
06aの所定位置,およびN+ 型拡散層109aの所定
位置にそれぞれに達する開口部(図示せず)が形成さ
れ、さらにアルミニウム系金属膜からなる上層配線(図
示せず)が形成され、本実施例による半導体装置が完成
する。
【0023】次に、上記第1の実施例におけるオゾン・
テオスNSG膜の平坦性ついて図面を参照して説明す
る。半導体装置の断面図である図2を参照すると、P型
シリコン基板101aa上にシリコン酸化膜111aa
が形成され、シリコン酸化膜111aa上に1つの多結
晶シリコンゲート電極106aaが形成される。次に、
例えば膜厚が800nm程度のBPSG膜に比較して充
分に厚い膜厚を有するオゾン・テオスNSG膜132
が、全面に形成される〔図2(a)〕。このオゾン・テ
オスNSG膜132は、膜厚が厚いにもかかわらず、B
PSG膜(図6(a)参照)と異なり、成膜段階での段
差被覆性が極めて良好である。このため、P型シリコン
基板101ab上にシリコン酸化膜111abが形成さ
れ、シリコン酸化膜111ab上に間隔0.5μmを持
って複数の多結晶シリコンゲート電極106abが形成
されたとき、全面に例えば膜厚800nmのオゾン・テ
オスNSG膜133が形成されても、BPSG膜で見ら
れたようなボイドの発生は起らず、成膜段階での段差被
覆性が極めて良好である〔図2(b)〕。
【0024】次に、上記第1の実施例における第2の熱
処理の温度限定について補足説明する。オゾン(O3
とテオス(Si(OC2 5 4 )との化学気相反応に
おける反応生成物に水分(H2 O)が存在するため、前
述したように、オゾン・テオスNSG膜は成膜段階での
含水率が高い。このため、熱処理が必要となる。熱処理
温度に対するオゾン・テオスNSG膜の含水率比(40
0℃で成膜した時の含水率に対する比)の変化を示す図
3を参照すると、700℃以上の温度で熱処理する必要
がある(BPSG膜も同様の傾向がある)。このグラフ
は、OH基の赤外線吸収強度の測定による。第2の熱処
理が800℃以下の温度で行なわれるのは、第1の熱処
理の上限と同様に、N+ 型拡散層109a等の接合の深
さの増大を防ぐためである。
【0025】NチャネルMOSトランジスタのしきい値
電圧VTHのゲート長L依存性を示すグラフである図4を
参照すると、上記第1の実施例によるNチャネルMOS
トランジスタでは、ゲート長Lが0.4μm以上あれば
ショートチャネル効果は顕著でない。これに対して、従
来技術によると、ショートチャネル効果を抑制するに
は、0.7μm以上のゲート長Lが必要である。
【0026】半導体装置の断面図である図5を参照する
と、本発明の第2の実施例は、下層配線層がN+ 型拡散
層109bおよびN+ 型の多結晶シリコン膜からなる多
結晶シリコンゲート電極106bとシリサイド配線11
4とからなる。P型シリコン基板101b表面にはP型
チャネルストッパー102bおよびフィールド酸化膜1
03bからなる素子分離領域とゲート酸化膜104bと
が形成され、多結晶シリコンゲート電極106bはゲー
ト酸化膜104b上に形成されている。多結晶シリコン
ゲート電極106b,ゲート酸化膜104b,およびフ
ィールド酸化膜103bはノンドープの第1層間絶縁膜
112に覆われている。この第1層間絶縁膜112に設
けられた開口部113を介して、上記シリサイド配線1
14は上記N+ 型拡散層109bに接続されている。シ
リサイド配線114とアルミニウム系金属膜からなる上
層配線(図示せず)との間の第2の層間絶縁膜は、BP
SG膜121と表面が平坦化されたオゾン・テオスNS
G膜134とBPSG膜122とが順次積層されてな
る。これらBPSG膜121およびオゾン・テオスNS
G膜134の形成条件は、上記第1の実施例のBPSG
膜120およびオゾン・テオスNSG膜130の形成条
件とそれぞれ同様である。
【0027】上記第2の実施例は、シリサイド配線11
4のような導電性の不純物の拡散に対して問題のない材
料からなる下層配線に対しては、BPSG膜121が直
接に接触することが可能となる。このため、この第2の
層間絶縁膜の表面の平坦性は保たれる。さらに、高融点
導電体材料からなる下層配線層が、導電性の不純物の拡
散に対して問題のない材料からなる複数の下層配線層を
含む場合でも、本実施例を適用することは可能である。
【0028】
【発明の効果】以上説明したように本発明は、高融点導
電体材料からなる下層配線層とアルミニウム系金属膜か
らなる上層配線との間の層間絶縁膜が、少なくともBP
SG膜およびBPSG膜上に積層されたオゾン・テオス
NSG膜を含む表面が平坦化された層間絶縁膜である。
このため、BPSG膜のリフローは不要となり、半導体
素子の微細化に対する制約が低減する。さらにこのBP
SG膜がゲッタリング機能を有することから、層間絶縁
膜の構成にオゾン・テオスNSG膜を採用しても、半導
体素子の電気特性の劣化は回避される。
【図面の簡単な説明】
【図1】本発明の第1の実施例の製造工程の断面図であ
る。
【図2】上記第1の実施例の効果を説明するための断面
図である。
【図3】上記第1の実施例を説明するための図であり、
熱処理温度に対するオゾン・テオスNSG膜の含水率比
の変化を示すグラフである。
【図4】上記第1の実施例の効果を説明するための図で
あり、NチャネルMOSトランジスタのしきい値電圧V
THのゲート長L依存性を示すグラフである。
【図5】本発明の第2の実施例の断面図である。
【図6】従来の半導体装置の問題点を説明するための断
面図である。
【図7】従来の半導体装置の問題点を説明するための図
であり、BPSG膜の段差被覆性を示すグラフである。
【符号の説明】
101a,101aa,101ab,101b,201
a,201b P型シリコン基板 102a,102b P型チャネルストッパー 103a,103b フィールド酸化膜 104a,104b ゲート酸化膜 105 チャネルドープ層 106a,106aa,106ab,106b,206
a,206b 多結晶シリコンゲート電極 107 N- 型拡散層 108,110 CVDシリコン酸化膜 108a スペーサ 109a,109b N+ 型拡散層 111aa,111ab,211a,211b シリ
コン酸化膜 112 第1層間絶縁膜 113 開口部 114 シリサイド配線 120,120,122,220,221 BPSG
膜 130,131,132,133 オゾン・テオスN
SG膜 140 SOG膜 215 ボイド

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 高融点導電体材料からなる下層配線層と
    アルミニウム系金属膜からなる上層配線との間の層間絶
    縁膜が、少なくともBPSG膜および該BPSG膜上に
    積層されたオゾンとテトラ・エトキシ・シランとの化学
    気相反応によるノンドープのシリコン酸化膜を含む表面
    が平坦化された層間絶縁膜を有することを特徴とする半
    導体装置。
  2. 【請求項2】 前記BPSG膜の膜厚が50nm以上,
    200nm以下であることを特徴とする請求項1記載の
    半導体装置。
  3. 【請求項3】 前記下層配線層がシリコン基板表面に設
    けられた拡散層を含むことと、前記拡散層と前記層間絶
    縁膜との間にノンドープの絶縁膜を有することとを併せ
    て特徴とする請求項1,あるいは請求項2記載の半導体
    装置。
  4. 【請求項4】 前記下層配線層がシリコン基板表面に設
    けられた拡散層並びに多結晶シリコン配線を含むこと
    と、前記拡散層並びに多結晶シリコン配線と前記層間絶
    縁膜との間にノンドープの絶縁膜を有することとを併せ
    て特徴とする請求項1,あるいは請求項2記載の半導体
    装置。
  5. 【請求項5】 シリコン基板表面に拡散層,および多結
    晶シリコン配線を含んでなる半導体素子を形成し、全面
    にノンドープの絶縁膜を形成する工程と、 前記ノンドープの絶縁膜上に膜厚が50nm以上,20
    0nm以下のBPSG膜を形成し、第1の熱処理を行な
    う工程と、 前記BPSG上にオゾンとテトラ・エトキシ・シランと
    の化学気相反応によるノンドープのシリコン酸化膜を形
    成し、第2の熱処理を行なう工程と、 前記ノンドープのシリコン酸化膜の表面にSOG膜を塗
    布焼成,もしくはフォトレジスト膜を形成し、前記SO
    G膜,もしくは前記フォトレジスト膜が完全に除去され
    るまでエッチバックを行ない、前記ノンドープのシリコ
    ン酸化膜の表面を平坦化する工程と、 前記拡散層,および前記多結晶シリコン配線にそれぞれ
    に達する開口部を形成する工程と、 アルミニウム系金属膜からなる上層配線を形成する工程
    と、を有することを特徴とする半導体装置の製造方法。
  6. 【請求項6】 前記第1,並びに前記第2の熱処理が7
    00℃以上,800℃以下で行なわれることを特徴とす
    る請求項5記載の半導体装置の製造方法。
  7. 【請求項7】 前記ノンドープの絶縁膜を形成した後、
    該ノンドープの絶縁膜に開口部を形成し、高融点金属を
    含む導電体膜からなる下層配線層を形成する工程を有す
    ることと、 前記拡散層,前記多結晶シリコン配線,および前記高融
    点金属を含む導電体膜からなる下層配線層にそれぞれに
    達する開口部を形成する工程を有することとを併せて特
    徴とする請求項5,あるいは請求項6記載の半導体装置
    の製造方法。
JP4317005A 1992-11-26 1992-11-26 半導体装置およびその製造方法 Expired - Fee Related JP2809018B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP4317005A JP2809018B2 (ja) 1992-11-26 1992-11-26 半導体装置およびその製造方法
EP93119027A EP0599317B1 (en) 1992-11-26 1993-11-25 Method of forming a planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device
DE69323396T DE69323396T2 (de) 1992-11-26 1993-11-25 Herstellungsverfahren einer planarisierten, isolierenden Zwischenschicht bestehend aus gestapelter BPSG- und Ozon-TEOS-NSO-Schicht in einer Halbleiter Vorrichtung
KR93025442A KR0119189B1 (en) 1992-11-26 1993-11-26 Planarized inter layer insulating film formed of stacked bpsg film and ozone-teos nsg film in semiconductor device and making method thereof
US08/411,390 US5518962A (en) 1992-11-26 1995-03-28 Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4317005A JP2809018B2 (ja) 1992-11-26 1992-11-26 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
JPH06163723A true JPH06163723A (ja) 1994-06-10
JP2809018B2 JP2809018B2 (ja) 1998-10-08

Family

ID=18083353

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4317005A Expired - Fee Related JP2809018B2 (ja) 1992-11-26 1992-11-26 半導体装置およびその製造方法

Country Status (5)

Country Link
US (1) US5518962A (ja)
EP (1) EP0599317B1 (ja)
JP (1) JP2809018B2 (ja)
KR (1) KR0119189B1 (ja)
DE (1) DE69323396T2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09139360A (ja) * 1995-11-03 1997-05-27 Hyundai Electron Ind Co Ltd 半導体素子の金属配線形成方法
KR19990012398A (ko) * 1997-07-29 1999-02-25 윤종용 비트라인 쉬프트 방지를 위한 층간절연막 형성방법
JPH11297830A (ja) * 1998-03-16 1999-10-29 Samsung Electronics Co Ltd 半導体素子及びその製造方法
KR100315445B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
US6352943B2 (en) 1998-05-20 2002-03-05 Semiconductor Process Laboratory Co., Ltd. Method of film formation and method for manufacturing semiconductor device
KR100416696B1 (ko) * 1996-10-01 2004-03-26 주식회사 하이닉스반도체 반도체소자의평탄화방법
JP2010267804A (ja) * 2009-05-14 2010-11-25 Rohm Co Ltd 半導体装置およびその製造方法

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW350832B (en) * 1994-08-24 1999-01-21 Ebara Corp Method for generating ozone and its use
US5559052A (en) * 1994-12-29 1996-09-24 Lucent Technologies Inc. Integrated circuit fabrication with interlevel dielectric
JPH08255795A (ja) * 1995-03-15 1996-10-01 Sony Corp 半導体製造方法および装置
US5563104A (en) * 1995-06-23 1996-10-08 Taiwan Semiconductor Manufacturing Company Ltd. Reduction of pattern sensitivity in ozone-teos deposition via a two-step (low and high temperature) process
US5804498A (en) * 1995-06-23 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making an underlayer to reduce pattern sensitivity of ozone-TEOS
JPH0964037A (ja) * 1995-08-23 1997-03-07 Mitsubishi Electric Corp 半導体装置の製造方法
US5665644A (en) * 1995-11-03 1997-09-09 Micron Technology, Inc. Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry
US7067442B1 (en) * 1995-12-26 2006-06-27 Micron Technology, Inc. Method to avoid threshold voltage shift in thicker dielectric films
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
KR100211540B1 (ko) * 1996-05-22 1999-08-02 김영환 반도체소자의 층간절연막 형성방법
US5869394A (en) * 1996-10-29 1999-02-09 Mosel Vitelic, Inc. Teos-ozone planarization process
US5773361A (en) * 1996-11-06 1998-06-30 International Business Machines Corporation Process of making a microcavity structure and applications thereof
JP3264196B2 (ja) * 1996-12-02 2002-03-11 ヤマハ株式会社 絶縁膜平坦化法
JP3024747B2 (ja) * 1997-03-05 2000-03-21 日本電気株式会社 半導体メモリの製造方法
US5913150A (en) * 1997-04-11 1999-06-15 Nec Corporation Method for manufacturing semiconductor device using spin on glass layer
JPH10335458A (ja) * 1997-05-30 1998-12-18 Nec Corp 半導体装置及びその製造方法
EP0893825A1 (en) * 1997-07-23 1999-01-27 STMicroelectronics S.r.l. Planarization method with a multilayer for integrated semiconductor electronic devices
EP0954017A3 (en) 1998-04-16 2000-08-09 STMicroelectronics, Inc. A semiconductor structure having an improved pre-metal dielectric stack
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6121098A (en) * 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6090674A (en) * 1998-11-09 2000-07-18 Taiwan Semiconductor Manufacturing Company Method of forming a hole in the sub quarter micron range
US6734564B1 (en) 1999-01-04 2004-05-11 International Business Machines Corporation Specially shaped contact via and integrated circuit therewith
US6207989B1 (en) * 1999-03-16 2001-03-27 Vantis Corporation Non-volatile memory device having a high-reliability composite insulation layer
US6171948B1 (en) 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
JP2001217247A (ja) * 2000-02-04 2001-08-10 Nec Corp 半導体装置およびその製造方法
US6294483B1 (en) 2000-05-09 2001-09-25 Taiwan Semiconductor Manufacturing Company Method for preventing delamination of APCVD BPSG films
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
JP3504250B2 (ja) * 2002-03-07 2004-03-08 沖電気工業株式会社 半導体素子の製造方法
KR100567531B1 (ko) * 2004-11-24 2006-04-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20060157776A1 (en) * 2005-01-20 2006-07-20 Cheng-Hung Chang System and method for contact module processing
US8435898B2 (en) * 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP6297783B2 (ja) * 2013-03-08 2018-03-20 住友電気工業株式会社 炭化珪素半導体装置およびその製造方法
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) * 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4676867A (en) * 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
US4966865A (en) * 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
JP2518435B2 (ja) * 1990-01-29 1996-07-24 ヤマハ株式会社 多層配線形成法
US5132774A (en) * 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5250468A (en) * 1990-02-05 1993-10-05 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device including interlaying insulating film
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
JP2538722B2 (ja) * 1991-06-20 1996-10-02 株式会社半導体プロセス研究所 半導体装置の製造方法
JP2689038B2 (ja) * 1991-12-04 1997-12-10 三菱電機株式会社 半導体装置およびその製造方法
US5278103A (en) * 1993-02-26 1994-01-11 Lsi Logic Corporation Method for the controlled formation of voids in doped glass dielectric films
US5403780A (en) * 1993-06-04 1995-04-04 Jain; Vivek Method enhancing planarization etchback margin, reliability, and stability of a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09139360A (ja) * 1995-11-03 1997-05-27 Hyundai Electron Ind Co Ltd 半導体素子の金属配線形成方法
KR100416696B1 (ko) * 1996-10-01 2004-03-26 주식회사 하이닉스반도체 반도체소자의평탄화방법
KR19990012398A (ko) * 1997-07-29 1999-02-25 윤종용 비트라인 쉬프트 방지를 위한 층간절연막 형성방법
JPH11297830A (ja) * 1998-03-16 1999-10-29 Samsung Electronics Co Ltd 半導体素子及びその製造方法
US6352943B2 (en) 1998-05-20 2002-03-05 Semiconductor Process Laboratory Co., Ltd. Method of film formation and method for manufacturing semiconductor device
KR100315445B1 (ko) * 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
JP2010267804A (ja) * 2009-05-14 2010-11-25 Rohm Co Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
EP0599317B1 (en) 1999-02-03
DE69323396T2 (de) 1999-09-09
US5518962A (en) 1996-05-21
KR0119189B1 (en) 1997-09-30
EP0599317A1 (en) 1994-06-01
JP2809018B2 (ja) 1998-10-08
DE69323396D1 (de) 1999-03-18

Similar Documents

Publication Publication Date Title
JP2809018B2 (ja) 半導体装置およびその製造方法
US5461254A (en) Method and resulting device for field inversion free multiple layer metallurgy VLSI processing
US5936300A (en) Semiconductor device with film covering
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
US6888183B1 (en) Manufacture method for semiconductor device with small variation in MOS threshold voltage
US6489661B2 (en) Method of manufacturing semiconductor device and semiconductor device
KR100298915B1 (ko) 반도체장치및그제조방법
US6806174B2 (en) Semiconductor devices and methods for fabricating the same
KR100688023B1 (ko) 반도체 소자의 제조 방법
JPH098135A (ja) 半導体装置の製造方法
JPH0454390B2 (ja)
JP3348264B2 (ja) 半導体集積回路装置とその製造方法
KR20040070794A (ko) Pip 커패시터를 갖는 반도체 소자의 제조 방법
US6169026B1 (en) Method for planarization of semiconductor device including pumping out dopants from planarization layer separately from flowing said layer
KR100287178B1 (ko) 반도체소자의콘택홀형성방법
KR100529451B1 (ko) 반도체 소자 및 그 제조 방법
KR100273988B1 (ko) 커패시터제조방법(Method For Making a Capacitor)
JPH05251446A (ja) 半導体装置及びその製造方法
JPH05198690A (ja) 半導体装置の製造方法
JPH06291203A (ja) 半導体装置及びその製造方法
JPH06163522A (ja) 半導体装置の層間絶縁膜
JP2000208507A (ja) 絶縁膜の形成方法および半導体装置の製造方法
JPH02211633A (ja) 半導体装置及びその製造方法
JPH11204738A (ja) 半導体装置の製造方法
KR19980060885A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19980630

LAPS Cancellation because of no payment of annual fees