JPH04143819A - 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ - Google Patents

消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ

Info

Publication number
JPH04143819A
JPH04143819A JP2205006A JP20500690A JPH04143819A JP H04143819 A JPH04143819 A JP H04143819A JP 2205006 A JP2205006 A JP 2205006A JP 20500690 A JP20500690 A JP 20500690A JP H04143819 A JPH04143819 A JP H04143819A
Authority
JP
Japan
Prior art keywords
instructions
instruction
memory
microprocessor
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2205006A
Other languages
English (en)
Inventor
Masahiro Iwamura
将弘 岩村
Shigeya Tanaka
成弥 田中
Hideo Maejima
前島 英雄
Tetsuo Nakano
哲夫 中野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to KR1019900020439A priority Critical patent/KR100239042B1/ko
Priority to DE4042674A priority patent/DE4042674B4/de
Priority to DE4040382A priority patent/DE4040382C2/de
Publication of JPH04143819A publication Critical patent/JPH04143819A/ja
Priority to US08/136,990 priority patent/US5457790A/en
Priority to US08/462,662 priority patent/US5734913A/en
Priority to US08/966,972 priority patent/US6088808A/en
Priority to US10/067,287 priority patent/US6671815B2/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7828Architectures of general purpose stored program computers comprising a single central processing unit without memory
    • G06F15/7832Architectures of general purpose stored program computers comprising a single central processing unit without memory on one IC chip (single chip microprocessors)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3818Decoding for concurrent execution
    • G06F9/3822Parallel decoding, e.g. parallel decode units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • G06F9/38585Result writeback, i.e. updating the architectural state or memory with result invalidation, e.g. nullification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • G06F9/3869Implementation aspects, e.g. pipeline latches; pipeline synchronisation and clocking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、高速アクセスや多ビット出力等を要求される
内蔵キャッシュメモリ等の、低消費電力化が望まれる機
能回路ブロックを有する、マイクロプロセッサ等の、半
導体集積回路に関するものである。
〔従来の技術〕
以下、従来の技術をマイクロプロセッサを例に取り説明
する。
近年の高速性能マイクロプロセッサ(以下MPUと記す
)は、内部の命令実行速度と外部主メモリからの命令お
よびオペランドの内部への転送速度の不一致によって生
じる問題を解決するために、MPU内部にキャッシュメ
モリを内蔵することや並列度を高めて処理性能の向上を
図るため複数の演算器を内蔵させることが一般的になっ
てきており、その結果、消費電力の増大が深刻な問題に
なってきている。
キャッシュメモリを内蔵する主目的は、MPUの実行速
度に見合ったスピードで命令やデータを高速にフェッチ
することである。
今日、最高速のCl5C型MPUのクロック周期は25
〜40MHzであるが、近い将来、RISC型で100
 M Hzを越えるMPUが登場することが予想される
このような、超高速MPUでは内蔵キャッシュメモリと
して数ns以下の超高速アクセスが要求される。
また、内蔵キャッシュメモリは、ワード数は比較的少な
いが、1ワード当りの読出しビット数が極端に多い(汎
用SRAMでは最大8ビツト)と云う特徴を有している
。たとえば、今日の32ビットMPUでも数百ビットの
並列読出し等は一般的に実現されており、将来MPUの
64ビツト化が進めば、並列読出し数がさらに増大する
と思われる。
ここで、一般に、超高速メモリのセンスアンプとしては
、バイポーラトランジスタによる差動型の高感度センス
アンプが好適である。しかL、この回路は定常的に比較
的大きな電力を消費する。
また、メモリの他の部分でも特別な電力節減手段がなけ
ればメモリアクセスが起こらなくても電力を消費する。
すなわち、超高速アクセス、多ビツト並列出力のキャッ
シュメモリを内蔵する単一チップMPUではメモリ回路
の消費電力が極端に大きくなるため適切な低消費電力化
手段がなければ、キャッシュメモリのオンチップ化その
ものが、やがて不可能になると予想される。
低消費電力化技術として知られている第1の従来技術と
しては、メモリアドレス信号と等価なチップセレクト信
号C8により、メモリ回路をスタンドバイモードの消費
電力と通常動作モードの消費電力に切換え実効的な消費
電力を低減するものがある。
また、第2の従来技術としては、たとえばATD(Ad
dres Transition Detector)
回路により、アドレス信号の変化を検知L、その信号に
より内部動作に必要なりロックパルスを生成L、メモリ
のセンスアンプ等を必要な期間だけ動作させて消費電力
を低減するものが知られている。
また、特開昭61−45354号公報などに記載されて
いるように、MPUなどの論理LSIではa)複数の機
能ブロックに対応して電力制御命令を設け、プログラム
により該当する機能ブロックを活性又は非活性状態に切
換えることにより低消費電力を実現する方法、b)機能
ブロック毎にクロック制御回路を設け、クロック供給の
有無を制御することにより低消費電力を実現する方法、
C)機能ブロック毎に電力制御回路を設け、命令実行時
に使用しない機能ブロックの電源供給を遮断することに
より低消費電力を実現する方法、などが公知である。し
かしながら、上記従来技術では通常電力消費状態と低消
費電力状態相互間の切換え時の電源電流の急激な変化に
よって電源線や接地線に誘起される雑音に対する考慮が
欠如しており、以下のような問題点がある。
l)低消費電力状態と通常動作状態とで回路電流が短時
間に大きく変化するため電源線、GND線のインダクタ
ンスや抵抗により、大きな雑音電圧が発生する。
2)上記雑音電圧により、機能回路自身あるいは他の内
部回路が誤動作する。また、仮に誤動作が起きなかった
場合でも上記雑音電圧が消滅するまでには一定の時間が
必要なため、メモリアクセススピードの実効的な低下が
引き起こされる。
第24図(A)は電源系の雑音電圧発生を説明するため
の図である。同図において、1300は電源、1310
は例えばメモリ回路などの機能回路ブロック、1321
.1322は、それぞれ電源、GND系のインダクタン
ス、1331.1332はそれぞれ電源系、GND系の
抵抗である。
第24図(B)はSWを時刻t1でオ°ンL、時間t2
でオフした場合の電源電流iの変化、電源電位v1 、
GND電位v2の変化の様子を示したものである。
図示するように、時刻t1でスイッチSWをオンにした
とき、回路電流iはへt1時間の間にOから定常電流ま
で変化する。このとき、図示のように回路の電源電位■
1は負方向にピークを持つように大きく変化L、GND
電位■2は正方向にピークを持つように大きく変化する
。逆に、時刻t2でスイッチSWをオフしたとき、回路
電流jはΔt2時間の間に定常電流からOまで変化する
このとき、回路の電源電位Vlは正方向にピークを持つ
ように大きく変化L、GND電位v2は負方向にピーク
を持つように大きく変化する。
例えば、今、第24図の回路1310が500ケのセン
スアンプであり、1回路当り2mAの電流を消費するも
のとL、この電流をΔt=1nsでOから定常電流まで
切換えるものとする。このとき、抵抗1331.133
2を無視L、インダクタンス1321,1322をL=
5nHと仮定すると電源ノイズvnは以下のようになる
=5V すなわち、5■又はそれ以下の電源電圧で動作する今日
の半導体集積回路では前記のような大きな電源雑音は許
容しがたいものになる。
また、仮に前記雑音を適正な大きさまで低減できたとし
ても、第24図(B)に示すように、電源、GND雑音
が消失するまでにはΔt1時間。
Δt2時間が必要である。この時間は電流の切換え時間
に依存するが通常1〜3nsが必要である。
数ns以下のアクセスタイムが必要な超高速メモリなど
ではこの時間は受は入れ難いものであり、高速動作の大
きな障害になる。
上記のように、電源電流の変化による雑音の問題は半導
体チップ内の複数の演算器やその他の機能回路ブロック
についても同様である。
ちなみに、近年の高性能MPUは、その処理能力を上げ
る目的で、いろいろな技術が導入されはじめている。計
算機の処理性能は、次式により評価される。
CPIとは、1命令に要するサイクル数である。
ここ数年の技術で注目されるのが、PISCプロセッサ
である。RISCは、上式のCPIを1に近づけること
により性能を向上させることを主目的としている。
最近、RI SCの次の技術として、5uperSca
lar、V L I Wなどが注目されはじめた。この
技術は、最大n個の命令を同時に読み出L、n個の命令
を同時に解釈L、n個の命令を同時に実行するもので、
ハードウェアの並列度を増すことにより上式のCPIを
1 / nまで下げて、計算機の性能を向上させるもの
である。この5upar 5calar。
VLIWなどの高速動作の演算回路としては、バイポー
ラトランジスタなどによる差動型の論理回路やBiCM
O5による低振幅回路などが用いられるようになってき
たが、直流電流を流す回路は、定常的に比較的大きな電
力を消費する。
5uper 5calar、 V L I WなどのM
PUでは、同一機能を持つ高速動作の演算回路がn個要
求されるわけであり、それに供ない、演算回路の消費電
力もn倍に増えてしまうという問題がある。
なお、この種の技術として関連するものには、例えば、
日経エレクトロニクス Nα487 1989年11月
27日号のP191〜200において論じられている。
〔発明が解決しようとする課題〕
以上の説明で明らかなうよに、従来のマイクロプロセッ
サなどの半導体集積回路や電子回路における低消費電力
化技術は、電力切換え時に電源線や接地線に発生する雑
音の問題が考慮されていないため、回路の誤動作を引き
起したり、雑音が消失するまで一定の時間がかかるため
、迅速なスタートアップが出来ないと云う問題があった
特に、従来のオンチップメモリを有するMPUでは電力
切換え時の低ノイズ化とメモリアクセスの高速化にはト
レードオフの関係があるため、超高速化が困難であると
いう問題を生じていた。
また、以上、キャッシュメモリを有するマイクロプロセ
ッサにつき述べたが、高速化を要求される機能ブロック
を有する半導体集積回路や電子回路においても同様な問
題が生じる。
そこで、本発明は、機能回路ブロックの低消費電力化と
高速化が可能な半導体集積回路装置、特に、キャッシュ
メモリ等のオンチップメモリを有するマイクロプロセッ
サを提供することを目的とする。
なお、ここで、活性化とは回路の動作上必要な所定の電
力を供給することを意味L、不活性化とは前記所定の電
力より小さい電力を供給することを意味する。
また、本発明は、前記目的達成のために、メモリと、メ
モリアクセスに関連した情報に基づいて、メモリアクセ
スに先立ってメモリアクセスを検出する検出手段と、検
出手段がメモリアクセスを検出した場合に、メモリアク
セスに先行して、メモリを活性化する手段とを有するこ
とを特徴とする第1の半導体集積回路装置を提供する。
なお1本第1の半導体集積回路装置において、前記メモ
リをクロック同期式メモリとL、かつ、該半導体集積回
路装置のシステムクロック信号と前記アクセス予告信号
とからメモリ動作用メモリクロック信号を生成する手段
を備えるようにしても良い。
また、さらに、該半導体集積回路装置のシステムクロッ
ク信号と前記アクセス予告信号とからメモリのセンスア
ンプ回路活性化パルスを生成する手段を備え、メモリの
一部または全部のセンスアンプ回路を該活性化パルスに
より活性化するようにしても良い。
また、本発明は、前記目的達成のために、電源系インダ
クタンスL、許容電源ノイズ■。9回路電流の切換え幅
Δ工の機能回路ブロックと、該機能回路ブロックを、そ
の動作開始に時間T先行して活性化する動作開始予告信
号を発生する手段を有L、かつ、 前記、T、L、vnおよびΔ工は、 Δ 工 T≧L□ vn の関係を満たすことを特徴とする第2の半導体集積回路
装置を提供する。
また、前記目的達成のために、本発明は、メモリと、命
令を解釈L、その実行を指示する第1の命令デコーダと
、メモリへのアクセスの発生をアクセス動作開始以前に
検出してアクセス予告信号を発生する第2の命令デコー
ダと、予告信号を用いてメモリを先行活性化する活性化
手段と、を有することを特徴とする第1のマイクロプロ
セッサを提供する。
なお、水弟1のマイクロプロセッサにおいて、前記第2
の命令デコーダは前記アクセス予告信号をメモリアクセ
スの実行ステージより少なくとも1ステージ前のステー
ジで発生させる命令デコーダであり、前記活性化手段は
アクセス予告信号の発生時刻からメモリアクセス実行ス
テージの開始時刻までにメモリ回路の駆動電流を、所定
の動作電流値より小さい電流値から、所定の動作電流値
まで所定の変化率で増加させる活性化手段であるように
しても良い。
また、本発明は、前記目的達成のために、1以上の機能
回路ブロックと、命令を解釈L、その実行を各機能回路
ブロックに指示する第1の命令デコーダと、各機能回路
ブロックの実行を実行開始以前に検出して、実行を検出
した機能回路ブロックに動作予告信号を発生する第2の
命令デコーダと、予告信号を用いて該当する機能回路ブ
ロックを、その実行開始に先行して活性化する活性化手
段と、を有することを特徴とする第2のマイクロプロセ
ッサを提供する。
また、本発明は、前記目的達成のために、動作の開始を
予告する予告信号を受信L、該予告信号受信時より所定
の時間をかけて回路電流を所定の値まで増加することに
より低電力消費モードより通常電力消費モードに移行L
、動作の実行が終了後、所定の時間をかけて回路電流を
低電力消費モード電流まで減少L、低電力消費モードに
移行する機能を有することを特徴とする機能回路ブロッ
クを提供L、また、アクセスを予告するアクセス予告信
号により活性化L、アドレス信号とリード・ライト制御
信号とデータ入出力信号とに基づいて、所定のメモリ動
作を実行する事を特徴とするメモリを提供する。
また、あわせて、前記半導体集積回路装置と、前記マイ
クロプロセッサと、前記機能回路ブロックと、前記メモ
リと、のうち、少なくとも1つを有することを特徴とす
るワークステーションやコンピュータ等の情報処理装置
をも提供する。
〔作用〕
本発明に係る消費電力制御方法によれば、各機能回路ブ
ロックの動作開始を動作開始に先立って、検出L、そし
て、動作開始を検出した機能回路ブロックを動作開始に
先行して活性化L、動作終了後に不活性化することによ
り電力の低消費化と各機能回路ブロックの誤動作の防止
を図る。
また、本発明に係る第1の半導体集積回路装置によれば
、検出手段はメモリアクセスに関連した情報に基づいて
、メモリアクセスに先立ってメモリアクセスを検出L、
活性化する手段は、検出手段がメモリアクセスを検出し
た場合に、メモリアクセスに先行して、メモリを活性化
する。
なお、前記メモリをクロック同期式メモリとした場合に
は、該半導体集積回路装置のシステムクロック信号と前
記アクセス予告信号とからメモリ動作用メモリクロック
信号を生成する。
また、該半導体集積回路装置のシステムクロック信号と
前記アクセス予告信号とからメモリの一部または全部の
センスアンプ回路を活性化する活性化パルスを生成する
ようにしても良い。
また、本発明に係る、電源系インダクタンスL。
許容電源ノイズvn2回路電流の切換え幅Δ工の機能回
路ブロックと、該機能回路ブロックを、その動作開始に
時間T先行して活性化する動作開始予告信号を発生する
手段を有する第2の半導体集積回路装置においては、前
記、T 、 L 、V nおよびΔ工は、 Δ 工 T≧L□ n の関係を満たすよう、動作開始予告信号を発生する、ま
たは、機能回路ブロックは設計され製作されている。こ
れにより、機能回路ブロックの電源ノイズ等による誤動
作を防止することができる。
また、本発明に係る第1のマイクロプロセッサによれば
、第1の命令デコーダは命令を解釈L、その実行を指示
する。一方、第2の命令デコーダは命令を解釈L、メモ
リへのアクセスの発生をアクセス動作開始以前に検出し
てアクセス予告信号を発生L、活性化手段は予告信号を
用いてメモリを先行活性化する。
なお、前記第2の命令デコーダは前記アクセス予告信号
をメモリアクセスの実行ステージより少なくとも1ステ
ージ前のステージで発生させ、前記活性化手段はアクセ
ス予告信号の発生時刻からメモリアクセス実行ステージ
の開始時刻までにメモリ回路の動作電流を、所定の動作
電流値より小さい電流値から、所定の動作電流値まで所
定の変化率で増加させるようにしても良い。
また、本発明に係る第2のマイクロプロセッサによれば
、第1の命令デコーダは命令を解釈L、その実行を各機
能回路ブロックに指示する。一方、第2の命令デコーダ
は各機能回路ブロックの実行を実行開始以前に検出して
、実行を検出した機能回路ブロックに動作予告信号を発
生L、活性化手段は予告信号を用いて該当する機能回路
ブロックを、その実行開始に先行して活性化する。
また、本発明に係る機能回路ブロックは、動作の開始を
予告する予告信号を受信L、該予告信号受信時より所定
の時間をかけて回路電流を所定の値まで増加することに
より低電力消費モードより通常電力消費モードに移行L
、動作の実行が終了後、所定の時間をかけて回路電流を
低電力消費モード電流まで減少L、低電力消費モードに
移行する。
また、本発明に係るメモリはアクセスを予告するアクセ
ス予告信号により活性化L、アドレス信号とリード・ラ
イト制御信号とデータ入出力信号とに基づいて、所定の
リードやライト等のメモリ動作を実行する。
また、前記半導体集積回路装置と、前記マイクロプロセ
ッサと、前記機能回路ブロックと、前記メモリと、のう
ち、少なくとも1つを備えた、本発明に係る情報処理装
置は、そのための特殊処理を必要とせずに、高速化およ
び低消費電力化が図れる。
〔課題を解決するための手段〕
前記目的を達成するために、本発明は、1以上の機能回
路ブロックを備えた電子回路において、各機能回路ブロ
ックの動作開始を動作開始に先立って、検出L、動作開
始を検出した機能回路ブロックを動作開始に先行して活
性化L、動作終了後に不活性化することを特徴とする消
費電力制御方法を提供する。
〔実施例〕
以下、本発明に係る半導体集積回路の実施例をマイクロ
プロセッサを例にとり説明する。
第1図に、本発明の第1の実施例に係るマイクロプロセ
ッサ(MPU)の構成を示す。
図中、100が単一チップMPUであるが、説明の便宜
上、以下に、その内部構成は本実施例の理解に必要な構
成要素だけを記L、その他の部分は省略する。
図中、101はプログラムカウンタであり、クロック信
号CLKに同期して命令データの読み出しアドレスを発
生する。102はメモリアドレスレジスタであり、命令
キャッシュメモリ103の読出しアドレスを保持する。
104は命令データレジスタであり、命令キャッシュ1
03から読出した命令データを保持する。
また、111は他のメモリアドレスレジスタであり、デ
ータキャッシュ112のリード又はライトアドレスを保
持する。113はデータレジスタであり、データキャッ
シュ112のリードデータまたはデータキャッシュ11
2へのライトデータを保持する。
命令データレジスタ104とデータレジスタ113は内
部データバス172に結合されており、入出力制御回路
160を介して外部データバス161との間でデータの
やりとりを行う。
120は第1の命令デコーダであり、命令レジスタ10
4の出力105をデコードL、所定の命令制御信号12
1,122を出力する。140は演算器であり、レジス
タファイル150から内部バス173を介して演算に必
要なデータを受取り、算術演算、論理演算、シフト演算
などを実行L、その結果を内部バス174を介してレジ
スタファイル150に書込む。また、他のケースでは演
算結果を内部バス175を介してメモリアドレスレジス
タ111に書込む。
命令デコーダ120の出力121は演算器140に演算
の内容を指定する。また、命令デコーダ120の出力1
22はレジスタファイル150に対してリードやライト
の動作を指定する。
130は第2の命令デコーダであり、命令レジスタ10
4の出力105を解釈L、例えばデータキャッシュ11
2に対するメモリアクセスを予知L、所定の加工を施し
た後、データキャッシュ112に対してメモリアクセス
予告信号131を出力する。
データキャッシュ112はこの信号とメモリアドレスレ
ジスタ111からのアドレス信号とり−ド/ライト制御
信号(図中省略されている。)とから所定のメモリアク
セスを実行する。
なお、第2の命令デコーダ130は演算器140゜レジ
スタファイル150、その他にも必要に応じて動作開始
予告信号132,133を発生する機能を持たせること
ができる。
第2図に本実施例に係るMPUの代表的な命令実行ステ
ージを示す。
図中、命令1.命令2はR−R演算(レジスターレジス
タ間演算)の実行ステージを示している。
図中のIFステージで命令キャッシュ103から命令デ
ータをフェッチL、Dステージで命令デコーダ120に
よりデコードL、EXステージで演算器140により所
定の演算を実行する。最後にWステージで演算結果をレ
ジスタファイル150に書込む。
次に、図中、中段に示す、データキャッシュ112に対
するアクセスが発生するLOAD命令。
5TORE命令では、IFステージとDステージまでは
前述のR−R演算と同様であるが、次のACステージで
はデータキャッシュ112をアクセスするための実効ア
ドレス計算を行い、次のCACステージデータキャッシ
ュ112をアクセスする。最後にWステージでは読出し
たデータをレジスタファイル150に書込む。
以上のように、LOAD/5TORE命令ではデコード
ステージDとメモリアクセスステージCA間に実効アド
レス計算ステージACが必ず介在しており、本実施例で
はCAステージより2ステージ前のDステージでメモリ
アクセスの発生を予知L、キャッシュメモリ112に対
してアクセス予告信号を出力することを特徴とする。
次に、第3図に、この命令のフェッチからアクセス予告
信号の発生、メモリアクセスの実行までの動作タイミン
グをさらに詳細に示す。
図中、3aはシステムクロックCLKであり、この周期
は第3図の命令実行ステージの1ステージと同じ長さで
あり、例えば5nsである。3bはIFステージであり
、図ではM1〜M5のLOAD。
5TORE命令がフェッチされることを示している。
3cはDステージであり、IFステージの次のステージ
でM1〜MbのLOADまたは5TORE命令がデコー
ドされることを示している。
3dはACステージであり、3CのDステージでデコー
ドされたLOAD/5TORE命令M1〜M5に対する
実行アドレスデコーダ5の計算が実行される。
3eはアドレス計算結果のメモリアドレスA1〜A8で
あり、このアドレスを使って3fのCAステージで実際
のメモリアクセスが実行される。
3gは第1図に示した第2の命令デコーダ130で得ら
れるメモリアクセス予知信号M1〜M4であり、3cの
DステージのM1〜M5のデコート結果として得られる
。また、3hは3gのメモリアクセス予知信号M1〜M
5に所定の加工を施して得られたメモリアクセス予告信
号131であり、データキャッシュ112に出力される
ここで、アクセス予告信号3hは実際のメモリアクセス
が行われる3fのE1ステージに対して1ステージ前に
先行して発生しており、同様にE3ステージに対して1
ステージ前に先行して発生する。
ここで、第4図(A)にメモリアクセス予告信号131
を発生する第2の命令デコーダ130(第1図参照)の
内部構成を、第4図(B)にその動作タイミングを示す
図中、410はメモリアクセス予知回路であり、命令レ
ジスタ104が出力する命令デーゾがメモリアクセスを
伴う命令であるかどうかを検知する。
具体的にはLOAD命令と5TORE命令を検出L、第
4図(B)の3gに示すような検知信号DETを発生す
る。420は検知信号DET3gをクロック信号CL 
K 3 aでラッチするフリップフロップであり、その
出力Q4aは第4図(B)に示すような信号になる(こ
こで、QはQの反転出力を表わす)。430はインバー
タであり、フリップフロップ420の出力Q4aを反転
して第4図(B)に示すようなアクセス予告信号PR3
hを発生する。
なお、PR信号131の極性は本質的なものではないが
、本実施例では正極性のアクティブ信号としている。
次に、第5図にデータキャッシュメモリ112(第1図
参照)の内部構成を示す。
図中、510はアドレスバッファであり、アドレス信号
Aiを受けて、アドレスデコーダ・ドライバ520に必
要な正、負のアドレス信号として出力する。アドレスデ
コーダ・ドライバ520の出力はメモリアレイ530に
出力され、リードまたはライトすべきメモリアレイを選
択する。
540は、センスアンプであり、メモリアレイ530か
ら読出した微小信号を所定の信号レベルまで増幅して出
力する。550は出力ドライバであり、比較的重い付加
を持つ出力り。を駆動するために設けられている。
560は書込み制御回路であり、書込みデータDiを書
込み制御信号WEを使ってメモリアレイ530の所定の
アドレスに書き込む。
570は電流制御信号発生回路であり、アクセス予告信
号PRを受けて、少なくとも1以上の電流制御信号57
5を発生する、本例においては、データキャッシュメモ
リ112が共有される場合や、命令の実行以外のアクセ
ス要因がある場合等の例をも提示するために、複数の予
告信号PRz・PRnを受けて、少なくとも1以上の電
流制御信号575を発生する場合について示している。
電流制御信号575による回路電流の制御はキャッシュ
メモリ112内の電流制御信号発生回路570を除くす
べての回路要素に対して適用可能である。どの回路を制
御の対象に選ぶかは、適用する実際のハードウェア構成
や用途に従う。
第6図(A)に、電流制御信号発生回路570(第5図
参照)の構成例を、同図(B)は、その動作タイミング
を示す。
図中、610はオアゲートであり、アクセス予告信号P
 R1〜PRnのオアをとり、その出力をインバータ6
20とフリップフロップ660に供給する。630はノ
アゲートであり、インバータ620の出力とフリップフ
ロップ630のQ出力のノアをとり、第6図(B)の6
0に示すような信号pupを出力する。
640はアンドゲートであり、フリップフロップ660
のQ出力6bとクロック信号CLK3aのアンドをとり
、第6図(B)の6dに示すMCLK信号を出力する。
また、650と670はそれぞれオアゲートおよびデイ
レイ回路であり、オアゲート65oは前記MCLK信号
6dとMCLK信号をデイレイ回路670で所定時間遅
延させた信号とのオアをとり、第6図(B)の6fに示
すΦSA信号を出力する。
なお、第6図(B)のMA6eはメモリアクセス実行サ
イクルのメモリアドレスを示している。
第6図(B)に示すように、メモリアドレスA1.Al
に対するメモリアクセスはtzとtsのステージ6gで
行われる。これに対して6cのPUP信号はtzステー
ジより1ステージ前のtlステージで立上り、t8ステ
ージの終りで立下る信号になっている。
このPUP信号6cを基に回路電流を制御するが、この
ようすを第7図に示す。第7図の78に示すように、P
UP信号6cに基づいて、t1ステージの間に対象とす
る回路の電流をilから所定の電流値12まで立上げ、
tz、tsのメモリアクセスステージではその電流値を
維持L、メモリアクセスが完了したtaステージの始め
から、電流値を所定の低電流値ilまで立下げる。
次に、MCLK信号6d(第6図B)はメモリアクセス
ステージtz、tδのそれぞれに対応して発生されるパ
ルス信号であり、クロック同期式のメモリで実現する場
合のメモリクロックとして有用である。なお、クロック
同期型メモリについては文献1)〜3)を参照されたい
文献 1 ) Kevin J、O’connor : Mo
dular Embeded CacheMe+++o
ry for a 32 b Piplined RI
 S CMicroprocessor、 1987 
 I S  S CCp 。
2) Masanori 0daka et al: 
A 512  k b15nsBiCMO5RAM W
ith I KG/ 150 p sLogic Ga
te Array、 1989  I S  S CC
P、28〜29 3) Masayoshi Kimoto et al
: A 1.4 n s /64kb  RAM Wi
th 85ps/3688Logic Gate Ar
ray、 1989  CI  CCp 。
15.8.1〜15.8.4 また、ΦSA信号6fはメモリアクセスステージtzp
taのそれぞれに対応して発生されるパルス信号であり
、例えばセンスアンプを所定期間だけパルス動作させる
信号として有用である。
すなわち、センスアンプのみを独立に活性化制御するこ
とにより、電流切り替えにより生じる電源ノイズを許容
範囲に納め、かつ、高電力消費源であるセンスアンプの
活性化時間を極力短くする信号等として用いることがで
きる。
以上のPUP信号、ΦSA信号を用いて実際に回路電流
を制御する例を以下に示す。
まず、第8図(A)にPUP信号を使って、回路電流を
制御する回路の第1の例を、同図(B)にその動作波形
を示す。
図中、811,812はPMO8であり、それぞれのソ
ースは電源Vlに接続され、それぞれのゲートは共通接
続されてPMO5811のドレインにも接続されている
。また、821,822,823はNMOSであり、8
21のドレインはPMO5811のドレインに、ゲート
はPUP信号に、ソースは基準電位に接続されている。
NMO3822のドレインはPMO5812のドレイン
に、ゲートはインバータ830の出力に、ソースは基準
電位に接続され、インバータ830の入力はPUP信号
に接続されている。
また、840は例えば差動アンプのような能動回路であ
りデータキャッシュ112や演算器140やレジスタフ
ァイル15o(第1図参照)等の機能回路ブロックに備
えられているものであり、NMO5823を介して定電
流源850で定めた所定の動作電流を流すようになって
いる。さらにNMO3823のゲートと、GND間には
積分用のコンデンサCが接続されている。
PMO5811,812とNMO5821,823はカ
レントミラー回路を構成しており、同図(B)に示すよ
うに。
PUP信号が“0”レベルからit 1 nレベルに立
上がるとPMO5812からコンデンサCに、所定の充
電電流が流れ、NMO5823のゲート電圧Vgおよび
回路840の電流iは同図(B)中段および下段に示す
ように所定のslew rateでなだらかに立上がる
。この立上り時間t1は、前述した第7図に示したステ
ージt1に相当する時間である。
同様にPUPが“1″レベルから“O”レベルに変化す
ると電圧Vgおよび電流iは所定のslewrateで
なだらかに立下り、この立下り時間t4は同様に第7図
に示したt4に相当する時間になる。
なお、電流iの立上げ時間t1と立下げ時間t4は必ず
しも同じである必要はなく、回路の動作が終了した後な
ので立下げるときは、特別な不都合が生じない範囲でt
4を短くすることもできる。
第9図(A)にPUP信号を使って回路電流を制御する
回路の第2の例を、同図(B)にその動作波形を示す。
図中、911〜914はインバータ、921〜923は
NMO8,931〜933は定電流源、940は例えば
差動アンプのような能動回路であり、データキャッシュ
112や演算器140やレジスタファイル150(第1
図参照)等の機能回路ブロックに備えられているもので
ある。
ここで、インバータ912〜914の遅延時間を914
,913,912の順に大きくなるように設計するとP
UP信号が同図(B)のように“0″から“1”レベル
に変化したとき、NMO3921〜923を流れる電流
11〜18も所定の時間差をもって立上り、能動回路9
40の動作電流は時刻1.後にi s+ i z+ i
 sの定常電流まで階段状に立上がる。
同様に、PUP信号が“1”からlI O”レベルに変
化すると940の回路電流はtaの時間内に段階状に立
下がり、実効的に前述した第8図の実施例と同様になだ
らかな電流変化を得ることができる。
この立上り時間t1および立下り時間t2は第1の例と
同様に第7図のステージt1およびステージtiの時間
に相当する。
なお、以上、PtJP信号、ΦSA信号を用いて実際に
回路電流を制御する例を示したが、本実施例はこれに限
定されるものではなく、他の一般の、回路電流を制御す
る方法によっても本実施例は実現できる。
以下、前記第1の回路電流制御回路を用いた場合を例に
とり、データキャッシュメモリ112(第1図参照)の
各部における回路電流制御の例を示す。
第10図はデータキャッシュメモリ112の第5図の5
10で示したアドレスバッファの電流制御の実施例であ
る。
図中、1011〜1014はNPNトランジスタ、10
21.1022は抵抗、1031〜1033はNMO8
,1041〜1043は定電流源である。
NPNIOIIと1012のエミッタは共通接続され、
NMO51031を介して定電流源1041に接続され
ている。NPNIOIIと1012のベースはそれぞれ
アドレス信号Aiと基準電源VRに接続され、それぞれ
のコレクタは抵抗1021,1022を介して電源v工
に接続されテイル。NPN1013 、1014(7)
コレクタは電源Vlに接続され、それぞれのベースはN
PNIOIIのコレクタとNPN1012のコレクタに
接続されている。また、NPN1013 、1014の
それぞれのエミッタはNMO51032,1033を介
してそれぞれ定電流源1042.1043に接続されて
いる。
出力aiは入力Aiの非反転出力としてNPN1014
のエミッタから取り出され、出力aiは入力Aiの反転
出力としてNPN1013のエミッタから取り出されて
いる。NMO51031〜1033のゲートは制御信号
Vgに共通に接続されている。なお、制御信号vgは前
述した第8図にて示した信号Vgに相当するものである
ここで、NPNIOII 、 1012.抵抗1021
.1022と定電流源1041は差動アンプを構成して
おり、いま、電流制御信号Vgがパ1”レベルで、アド
レス信号AiがVgより高いとき、NPNIOIIがオ
ン、NPN1012がオフになり、NPNIOIIのコ
レクタが“0″レベル、NPN1012のコレクタが“
1″レベルになる。
NPNIOIIのコレクタはエミッタフォロワトランジ
スタ1013のベースに接続されており、そのエミッタ
から110”レベルの出力aiが得られる。
同様にNPN1012のコレクタはエミッタフォロワト
ランジスタ1014のベースに接続されており、そのエ
ミッタからre 1 uレベルの出力aiが得られる。
アドレス信号AiがVRより低いとき、NPNloll
とNPN 1012は逆の動作をL、ai比出力1”レ
ベル、ai比出力“0”レベルになる。
次に、電流制御信号Vgがit O”レベルの場合、N
MO51031〜1033はすべてオフになり、このと
き、電源v1からGNDへの電流パスがなくなるため、
この回路は電力を消費しなくなる。
ここで、電流制御信号Vgは前述した第8図(B)で示
したように立上り、立下り時間が所定の時間になるよう
に設定されるので電流の変化も第7図78で示したよう
になだらかなものとすることができる。
したがって、前述した第24図(B)に示したような電
流切換え時の電源、GNDノイズ第(第24図B参照)
を所望の大きさに抑制することができる。
次に、第11図にデータキャッシュメモリ内のデコーダ
・ドライバ52o、メモリアレイ530゜センスアンプ
540(第5図参照)の部分の回路電流制御の例を示す
図中、1161,1162はNORゲートであり、アド
レスデコーダの最終段に該当する。
1171.1172はアンドゲートからなるワードドラ
イバであり、一方の入力にアドレスデコーダ116L 
1162の出力が接続され、他方の入力に制御信号Vg
が接続されその出力によりワード線WLx、WLzをそ
れぞれ開動する。
1100は、特に限定するものではないが4MO5型の
メモリセルであり、説明の便宜上、1セルだけを図示す
る。
1111.1112はビット線プルアンプ用の負荷MO
8である。また、1113〜1116はビット線選択用
のMOSスイッチであり、カラム選択信号C1,C2に
より所望のビット線がコモンデータ線1120に結合さ
れる。
1121.1122はNPN)−ランジスタによるエミ
ッタフォロワ回路であり、コモンデータ線1120の信
号をVBE(ベース・エミッタ間電圧)だけレベルシフ
トしてNPN1123 、1124のそれぞれのベース
に伝える。NPN1123 、1124のエミッタは共
通接続され、NMO51141を介して電流源1151
に接続されている。NPN1123 、1124のコレ
クタは抵抗1131.1132を介して電源v1に接続
される。
NPN1123 、1124、抵抗1131,1132
および電流源1151とは差動アンプを構成しており、
メモリセル1100より読出した微小信号を所定の振幅
まで増幅する。同様に、1150は2ケの抵抗と2ケの
NPNからなる差動アンプ°を構成しておりNMO51
142を介して定電流源1152に接続されている。
1150の2つの入力はNPN1123 、1124の
コレクタに接続されており、それらの信号を更に増幅し
て端子1151に所定の振幅の出力信号を得るものであ
る。
ここで、アンドゲート1171,1172の一方の入力
には前述した電流制御信号Vg(第8図参照)が接続さ
れているため、Vgが1”レベルのとき、アンドゲート
1171,1172は選択的に開動され、ワード線WL
I、WL2を選択的に駆動する。一方、Vgが“O”レ
ベルのとき、アンドゲート1171,1172を始めと
するワードドライバはすべてオフになる。したがって、
このときメモリセル1100を始めとするすべてのメモ
リセルに流入する電流が遮断される。したがって、メモ
リアクセスしない状態での無駄な電力消費がカットされ
る。
同様に、NMO51141,1142のゲートには電流
制御信号Vgが接続されていて、Vgが゛′1″レベル
のときNMO51141,1142はオン、VgがII
 OI+レベルのときオフになる。
したがって、メモリアクセスしない状態ではセンスアン
プの電流は流れないため、無駄な電力消費がカットされ
る。
ここで、電流制御信号vgによる回路電流の変化は第7
図の78に示すようになるため、電流切換えによる電源
、GNDのノイズを許容値に抑制できるばかりでなく、
メモリアクセスの開始時点には上記ノイズは消滅してい
るため高速な動作が可能となる。
なお、第11図でスイッチ5W11.80を信号ΦSA
側に切換えるとNMO51141,1142がパルス的
に動作される。ΦSA信号は前述したように(第6図B
参照)メモリアクセスステージt2.tsの所定時間だ
け1”レベルになるパルス信号であり、本例の場合、メ
モリアクセス中の一定時間だけセンスアンプに電力を供
給することになり、低電力化を図ることができる。
次に、第12図にデータキャッシュメモリ112の出力
ドライバ550(第5図参照)の回路電流制御の例を示
す。
図中、PMO51211のトレイン、ゲート、ソースは
それぞれNPN1241のベース、入力V I N l
電源■】に接続されている。NMO31221のドレイ
ン、ゲート。
ソースはそれぞれNPN]241のベース、入力V r
 s +抵抗1251の一端に接続されてし)る。PM
O51222のドレイン、ゲート、ソースはそれぞれN
MO5122]のドレイン、電流制御信号V g 、 
NPN1241のベースに接続されている。また、抵抗
1251の両端にはコンデンサ1261が接続されてい
る。ダイオード1231のアノードとカソードはそれぞ
れNPN 1241のコレクタとベースに接続されてお
り。
NPN1241のコレクタには電源■1が接続されてい
る。NPN1241のエミッタは出力端子であり、出力
端子と電源v2間には終端抵抗1252が接続されてい
る。
いま、電流制御信号Vgが1”レベルのとき、PMO3
1222はオフである。このとき、入力Vl〜がII 
O?+レベルなら、PMO51211がオン、 NMO
51221がオフになる。したがって、この時、PMO
51211を介してNPN1241のベース電圧を立上
げ、出力VOUTは“1″レベルになる。逆に、VIN
が1′1′″レベルのとき、PMO51211がオフ、
 NMO51221がオンになり、 NPN1241の
ベース電圧を引下げ、出力V OUTはLL Ouレベ
ルになる。
なお、ダイオード1231はNPN1241のベース電
位の低下を所定値に抑えるためのクランパーである。
また、抵抗1251は電流制限用、コンデンサ1261
はスピードアップ用である。
次にVgが“O′″レベルのとき、PMO51222は
オンになる。このとき、NPN1241のベース電位は
入力VINのレベルに関係なく引き下げられ、出力V 
OUTは“0”レベルになる。したがって、NPN12
41のコレクタ電流はV OUTは111”レベルのと
きよりも小さくなり低消費電力化が図れる。
したがって、前述したアドレスバッファ510゜デコー
ダ・ドライバ52o、メモリアレイ530゜センスアン
プ540の回路電流制御と同様な効果が得られる。
以上、前記第1の回路電流制御回路を用いた場合を例に
とり、データキャッシュメモリ112(第1図参照)の
各部における回路電流制御の例を示したが、回路電流制
御回路としては、前記第2の回路電流制御回路(第9図
参照)や他の回路電流制御回路を用いても良い。
以上、本実施例においては、アクセス予告信号を使った
メモリのアクセス方法による低電力化の例を中心に説明
したが、前述したように、例えば単一チップMPU内の
演算器やレジスタファイルなど命令語の解釈によって動
作が制御されるすべての機能回路において同様に適用す
ることができる。また、本実施例においては、回路電流
を動作実行ステージの前ステージに同期して立上げを開
始する例について、説明したが、これは、必ずしも同期
させる必要はなく、電流変化による電源や接地線のノイ
ズを所定の値に抑制できる時間分、実行ステージの開始
に先行して、立ち上げを開始すれば良い、この場合、前
記PUP信号を、実行ステージの前ステージに同期して
ではなく所望のタイミングで有意信号とすれば良い。
以上、本実施例によれば、単一チップマイクロプロセッ
サに含まれるメモリ回路やその他の機能回路は実際の動
作に先立つアクセス予告信号により回路電流を動作開始
までに所定の割合で立上げた後、所定の動作を実行する
。このため、これらの機能回路は実際に動作する時だけ
回路性能上必要な電力を消費するため、単一チップマイ
クロプロセッサの低電力化に効果がある。
また低電力化した分だけ、新しい機能を付加することも
できるため、高機能化、高集積化にも効果がある。
また、各機能回路は所定の割合で回路電流を変化させら
れるため、電流変化による電源や接地線のノイズを所定
の値に抑制できる。このため、信頼性の高い回路動作を
実現できる効果がある。
さらにまた、本実施例を適用した各機能回路では実際の
動作を開始する時点で前記電源線や接地線のノイズが消
滅しているため、最良の電源状態で動作することができ
、回路の高速動作にも効果がある。
次に、本発明を5uper 5calar型のRISC
プロセッサに適用した場合を説明する。
5uper 5calar型のRISCプロセッサとは
、主にレジスタファイルを共用する複数の演算ユニット
を設け、命令を簡単にしてパイプライン段数を少なくL
、かつ、1マシンサイクルに複数の命令を読み出L、複
数演算ユニットを制御するものである。つまり、1マシ
ンサイクルで複数の命令が同時に読み出され、実行され
るため、複数の演算ユニットが同時に動き、処理能力を
高めることができる。
第13図は、第2の実施例で述べるプロセッサの命令−
覧である。これらの命令を大きく類別すると、基本命令
2分岐命令20−ド・ストア命令。
システム制御命令に分けられる。なお、説明の都合上、
簡単のために、上記の如く命令数を制限しているが、こ
れは、本発明を制限するものではなく、さらに命令を増
やしてもよい。
第2の実施例の構成を示したのが、第14図である。1
400はメモリインタフェース、1401はデータキャ
ッシュ、1402はシーケンサ、 1403は命令キャ
ッシュ、1404は32ビツトの第1命令レジスタ、1
4o5は32ビツトの第2命令レジスタ、1406は第
1命令用第1のデコーダ、1408は、第1命令用第2
のデコーダ、1409は、第2命令用第2のデコーダ、
1407は、第2命令用第1のデコーダ、1413は第
1.第2命令間の競合検出回路、1410は第1演算ユ
ニツト、1412は第2演算ユニツト、1411はレジ
スタファイルである。本実施例では、1マシンサイクル
の間に最大2つの命令が並列して読み出され実行される
。本実施例でのパイプライン処理の最も基本的な動作を
示したものが、第15図である。
パイプラインはI F (Instruction F
etch)、D(Decode) 、 E X(Exe
cution) 、 T (Test) 、 W(wr
ite)の5段で構成される。
次いで、第14図を用いて、動作を説明する。
IFステージでは、シーケンサ1402内のプログラム
カウンタによって指される2つの命令が命令キャッシュ
1403より読み出され、バス1415゜1417を通
して、それぞれ第1命令レジスタ1404、第2命令レ
ジスタ1405にセットされる。
Dステージでは、第1命令レジスタ1404の内容が第
1デコーダ1406でデコードされ、また、第2命令レ
ジスタ1405の内容が第2デコ−ダ1407でデコー
ドされる。その結果、第1命令レジスタ1404の第1
ソースレジスタフイールドで指されるレジスタの内容が
バス1425を通して、第2ソースレジスタフイールド
で指されるレジスタの内容がバス1426を通して、第
1演算ユニツト1410へ送出される。また、第2命令
レジスタの第1ソースレジスタで指されるレジスタの内
容がバス1427を通して、第2ソースレジスタフイー
ルドで指されるレジスタの内容がバス1428を通して
、第2演算ユニツト1412に送出される。
次にEXステージの動作について説明する。
EXステージでは、第1命令レジスタのオペコードの内
容に従って、第1演算ユニツト1410において、バス
1425.1426により送られてきたデータ間の演算
を行なう。並列して、第2命令レジスタ14o5のオペ
コードの内容に従って。
第2演算ユニツト1412において、バス1427゜1
428により送られてきたデータ間の演算を行う。ロー
ドストア命令はここでアドレス計算を行なう。
次にTステージの動作について説明する。Tステージで
は、基本命令は、データを保持し続ける。
ロードストア命令は、このステージで、前のEXステー
ジで計算したアドレスをバス1429、又ババス143
1を通して出力されたアドレスをもとにデータキャッシ
ュ1401に対してメモリアクセスを実行する。なお、
ストア命令の時は、同時に格納すべきデータがバス14
37を通して出力される。
最後にWステージの動作を説明する。Wステージでは、
第1演算ユニツト1410の演算結果が、バス1429
を通して、第1命令レジスタのディスティネーションフ
ィールドで指されるレジスタに格納される。また、第2
演算ユニツト1412の演算結果が、バス1431を通
して、第2命令レジスタのディスティネーションフィー
ルドで指されるレジスタに格納される。さらに、ロード
命令の時は、ロード命令内のディスティネーションフィ
ールドで指されるレジスタへ、バス143゜を通して、
格納される。
第15図は、基本命令を連続して処理するフローを示し
たものである。1マシンサイクルに2命令ずつ処理され
る。また、この例では、第1演算ユニツトと第2演算ユ
ニツトは常に並列して動作している場合について描かれ
ている。
しかしながら、第1命令と第2命令との組み合せによっ
ては、両命令を同時に実行できないことがある。これを
競合と呼ぶ。
例えば、第1命令のディスティネーションレジスタフィ
ールドで指されるレジスタと、第2命令の第1ソースレ
ジスタフイールドで指されるレジスタ、又は、第2命令
の第2ソースレジスタフイールドS2で指されるレジス
タが一致する時である。
このような競合が発生した時、ハードウェアは第1命令
レジスタに入っている命令を1マシンサイクルかけて実
行L、続いて次の1マシンサイクルで第2命令レジスタ
を実行するように制御される。つまり、第1命令、第2
命令ともに、それぞれ1マシンサイクルかけて実行され
る。第16図に、競合が入った場合のパイプラインを示
す。この例では、第1命令、第2命令共に加算命令であ
り、アドレス2の2命令について考えると第1命令はレ
ジスタR(1) 、レジスタR(2)の内容を加算して
、レジスタR(3)に格納するものであり、第2命令は
レジスタR(4)とレジスタR(3)の内容を加算して
、レジスタR(5)に格納するものである。ここで、第
1命令のディスティネーションレジスタR(3)と、第
2命令のソースレジスタR(3)が競合している。この
ような場合、第16図に示す通り、1マシンサイクルご
とに、各命令を実行する。
つまり、PC2で第1命令を実行L、並行して行なわれ
る第2命令を無効化L、続いて1次のサイクルで第1命
令を無効化L、並行して行なわれる第2命令を実行する
ことで実現できる。なお、1サイクルずらした場合のデ
ィスティネーションとソースのぶつかりは従来からよく
知られているショートバスを使えばよい。
5uper 5calar型のRISCプロセッサは、
第14図で示した通り、演算ユニットを2つ持っており
、上記のような競合の時は、必ずどちらか1つの演算ユ
ニットしか使われない。残りの演算ユニットは、無意味
な処理をしている。
5uper 5calar型のRISCプロセッサにお
いて、各種競合が見つけられた時に、使用される方の演
算ユニットを動作開始に先立って、検出し活性化するこ
とが重要である。この様子を第14図で詳しく述べる。
IFステージで、第1命令、第2命令が読み出された後
、Dステージで第1命令、第2命令の間の各種競合チエ
ツクが競合検出回路1413で行なわれる。
各種競合チエツク後、競合が生じていると認められると
、一方の演算ユニットだけで実行されるので、信号14
32.1433を通して、使用される演算ユニットを活
性化すればよい。
なお、競合が生じていない場合には、両方の演算器を活
性化する。活性化された演算ユニットは、1マシンサイ
クルの後半に、次のマシンザイルのだめの制御信号が活
性化を伝えてくれば、演算ユニットは、連続して活性化
される。また、活性化を伝えてこなければ、そのマシン
サイクル終了後、演算器は、不活性化される。
競合が生じた場合について、詳しく記述する。
第1.第2命令の競合検出回路が競合を検出すると、初
めに第1命令を実行するため、第1演算ユニツトは、1
433を経由して、制御信号1435より活性化を伝え
られ、活性化される。同時刻、第2演算ユニツトは、制
御信号1432を経由して、制御信号1436より活性
化しないことが伝えられる。このため、第2演算ユニツ
トは、不活性のまま、つまり、低消費電力のままである
この時、信号1434は、競合が検出されたことをシー
ケンサ1402に伝えるものである。
次のサイクルで、第2命令を実行するため、第1演算ユ
ニツトは、1433を経由して、制御信号1435より
、活性化しないことが伝えられる。
このため、第1演算ユニツトは、不活性になる。
同時刻、第2演算ユニツトは、制御信号1432を経由
して制御信号1436より、活性化することが伝えられ
る。
以上、本実施例のように、2命令向時処理において、各
種競合が見つけられた時に、使用される方の演算ユニッ
トを動作開始に先行って、検出し活性化することにより
、活性化されない演算ユニットは、電力の消費を抑える
ことが可能であり、全体の消費電力を抑える効果がある
第17図〜第19図は、第14図の第1演算ユニット1
410.第2演算ユニット1412.レジスタファイル
1411を抜き出したものであり、接続関係は省略して
書いである。
第17図は、第1,2演算ユニツトにすくなくとも1つ
以上差動入力を利用した回路、例えばECL回路で構成
したものを示している。このような演算ユニットで構成
した5uper 5calar型のマイクロプロセッサ
において、競合が検出された時、1マシンサイクルずつ
実行されるため、実際に使用する第1又は第2演算ユニ
ツトは、信号線1435又は1436によって、活性化
され予め目的の動作をするために定められた値の電流を
電流源より流し実行されるが、残りの活性化されなかっ
た演算器は電流源の流す電流を小さくするか流さない状
態のままであるため、電力を消費しない。
第18図、第19図、第20図は、第1,2演算ユニツ
トにすくなくとも1つ以上のバイポーラトランジスタの
ベース・エミッタ間で論理をとる回路、例えば、ECL
回路、 BiCMO5回路で構成したものを示している
。なお、この回路構成そのものは、特開昭60−175
167号公報に詳しく記述されている。この回路は、バ
イポーラトランジスタがONしていると、直流電流が流
れ、電力カス増える欠点を持つ。このため、競合などが
生じた時使用していない演算ユニットの電力を消費させ
ないことは有効である。制御方法は、第17図で説明し
たものと同様である。
第18図と第19図の違いは、電力を削減する方法が異
なる点である。第18図は、バイポーラトランジスタの
コレクタ側とVccの間にPチャネルMO3)−ランジ
スタが挿入されており、二のPチャネルMOSトランジ
スタをONさせた時、動作状態になり、OFFさせた時
、不活性状態となる。
第19図は、回路としては、動作状態にあるが、信号1
435又は1436がONになると、強制的にバイポー
ラトランジスタをOFFさせ、バイポーラトランジスタ
のコレクターエミッタ間電流を流さなくさせる。これは
、強制的に直流電流をカットさせたことを意味しており
、これにより消費電力を削減できる。
第20図は、第14図の第1演算ユニツト1410゜第
2演算ユニット1412.レジスタファイル1411、
さらにそれらのタイミングをとるクロック分配系を抜き
出したものである。第20図の分配系で注目すべき点は
、分配系内のクロックトライバAである。
クロックトライバAは、それぞれ第1演算ユニット14
10.レジスタファイル1411.第2演算ユニツト1
412だけに独立にクロックを供絡している。このよう
な分配系を含む演算ユニットで構成された5uper 
5calar型のマイクロプロセッサにおいて、競合が
検出された時、1マシンサイクルごとに実行されるが、
実際に使用しない第1又は第2演算ユニツトは、信号1
1435又は、1436によって、クロック分配系の特
定エリアへのクロックを止めるように制御する。これに
より、各ブロックに供終するクロック分配系以下の論理
が固定される。つまり、2つある演算ユニット内のどち
らか一方の演算ユニットは、クロックが供絡されており
、動作しているが、残りの一方の演算ユニットは、クロ
ックの供給が行なわれなtl。
CMOS回路やBiCMO5基本回路は、コンプリメン
タリな特性を持ち、通常の消費電力はきわめて小さいが
、入力データが変化する過渡期に電力を消費する。クロ
ックの供給が止められることは。
論理が固定され、変化しないことを意味する。このため
、消費電力が削減できる効果があり、第20図の制御方
法は、CMOS回路やBiCMO5基本回路を含む演算
ユニットで構成されたものに有効である。
以上、第17図〜第20図までで述べたように、演算ユ
ニットを構成する回路形式に対応して、不活性時の消費
電力を削減することが可能である。
なお、第17図〜第18図の回路形式の組み合せによる
演算ユニットの構成においてもそれぞれに対応して消費
電力を削減できることは明白である。
本実施例では、レジスタ間の競合について述べたが、そ
の他の競合として、命令同志の組み合せにより同時処理
できないもの、(例えば、ロード命令とロード命令の組
み合せなど)が挙げられる。
その例として、第21図にその組み合せを示す。
しかしながら、その組み合せは、ハードウェア上のイン
プリメンテーションで決まるもので本発明とは直接関係
ない。つまり、第21図でその組み合せに一つ以上の組
み合せに制約があった時、命令の組み合せによる競合が
成立したことになる。
更に、第14図に戻って、競合検出回路1413及び、
デコーダ1406,1408,1409゜14o7の他
の動作につき、第3の実施例とじて説明する。
先に述へた例は、各種競合が見つけられた時に、使用さ
れる方の演算ユニットの動作開始に先立って、検出し活
性化したが、水弟3の実施例は、各種競合が見つけられ
た時、使用されない方の演算ユニットを動作開始に先立
って、検出L、不活性化するものである。この様子を第
14図を用いて同様に詳しく述べる。IFステージで、
第1命令。
第2命令が読み出された後、Dステージで、第1命令、
第2命令の間の各種競合チエツクが第1命令、第2命令
間の競合検出回路1413で行なわれる。各種競合チエ
ツク後、競合が生じていることが認められると、一方の
演算ユニットだけで実行されるので、信号1432.1
433を通して残りの演算ユニットを不活性化すればよ
い。つまり第1.第2命令間の競合検出回路が競合を検
出すると、初めに、第1命令を実行するが、第2命令は
信号1432によって第2命令用第1デコーダを無効化
L、第2演算ユニツトを制御信号1436を通して不活
性化させる。この時信号1434は2競合が検出された
ことをシーケンサ1402に6えるものである。次のサ
イクルで、競合検出同周の出力1433により、第1命
令用第1デコータを無効化L、第1演算ユニツトを制御
信号1435各通して不活性化させる。これと並行して
、第2偉令は実行される。なお、不活性化された演算ユ
ニットは、1マシンサイクル内の後半で再び活性化する
ように制御することで、続く命令の実行は可能となる。
以上、本実施例のように、2命令同時処理において、同
時に実行する可能性のある2命令間に競合があるか否か
を検出L、競合がある場合に、使用しない演算ユニット
を不活性化することにより全体の消費電力を抑える効果
がある。
第17図〜第19図は、第14図の第1演算ユニット1
410.第2演算ユニット1412.レジスタファイル
1411を抜き比したものであり接続関係は省略して書
いである。各演算ユニットへの低消電力の仕方は先の第
2の実行例と同様である。
このような演算ユニットで構成した5uperScal
ar型のマイクロプロセッサにおいて、競合が検出され
た時、1マシンサイクルずつ実行されるが、実際に使用
しない第1又は第2演算ユニツトは、信号線1435又
は1436によって、使用しない方の消費電力を削減す
る。この時、実際に使用されている第1又は第2演算ユ
ニツトは、定め目的の動作をするために設けられた値の
電流を電流源より流し統ける。つまり、どちらか一方は
、所定の電流が流れ続け、残りの一方が消費電力を削減
するように制御する。
なお、第2の実施例と同様に、第17図〜第18図の回
路形式の組み合せによる演算ユニットの構成においても
それぞれに対応して消費電力を削減できることは明白で
ある。
本実施例では、レジスタ間の競合について述べたが、そ
の他の競合として、先の第2の実施例の中でも説明した
ように命令同志の組み合せにより同時処理できないもの
、(例えば、ロード命令とロード命令の組み合せなど)
が挙げられる。第21図はその組み合せの例を示す。し
がしながら、その組み合せは、ハードウェア上のインプ
リメンテーションで決まるもので本発明とは直接関係な
いのは、先の第2の実施例でも述べた通りであり、第2
1図でその組み合せに一つ以上の組み合せに制約があっ
た時、命令の組み合せによる競合が成立したことになる
さらに、本実施例では、基本命令の組み合せについて述
べたが、分岐、命令、ロード命令のすぐ次の命令でロー
ドしたデータを使用する(これをロードユースと呼ぶ)
時にも、演算ユニットは、無意味な処理をする場合があ
る。この場合も本発明は有効である9第22図は、分岐
命令の時を示すものであり、第23図は、ロードユース
の時である。なお、これらの動作は、容易に類推可能で
あるため省略する。
さらに、NOP命令、システム制御命令など、演算ユニ
ットを実際に操作しない命令が検出された時、検出され
た側の演算ユニットを不活性することも可能である。
第14図において、第1命令用第2デコーダ1408、
第2命令用第2デコーダ1409は。
それぞれの命令が演算ユニットを実際に操作するかどう
かを命令をデコードすることで検出する回路である。
第1命令用第2デコーダ14o8で検出すると信号線1
435を通して第1演算ユニツト1410を不活性化L
、さらに第2命令用第2デコーダ14o9で検出すると
、信号411436を通して、第2演算ユニツト141
2を不活性化する。これによって、演算ユニットの消費
電力は削減できる効果がある。
さらに1本実施例では、2命令の5uper 5cal
ar型のマイクロプロセッサについて述べたが5upe
rScalar型の別の制御方式においても有効であり
、さらに2命令に限るものではなく、複数命令の同時処
理機能を持つプロセッサに有効である。また、RI S
Cプロセッサに限定されることなく、Cl5Cプロセツ
サに適用できることは言うまでもない。
なお、以上、本実施例においては、単一チップマイクロ
プロセッサを例にとり説明したが、他の1チツプLSI
等の半導体集積回路装置等においても、その各機能回路
ブロックの動作開始を予知し機能回路ブロックの回路電
流を制御することにより同様の効果を得ることができる
。この場合、その動作開始の予知方法、および、回路電
流制御のタイミングは適用する装置の構成、用途に従う
が、動作開始に先立ち、動作開始を予知L、電流切り替
え等に起因する誤動作が生じないよう動作開始に一定時
間先行して機能回路ブロックを活性化することにより、
低消費電力化と正常動作を確保L、ひいては装置の高速
化を図るという本実施例の本質に何ら異なるものではな
い。
なお、さらに本実施例は半導体集積回路のみならず、一
般の電子回路においても、同様に実現可能である。
〔発明の効果〕
以上のように、本発明によれば、機能回路ブロックの低
消費電力化と高速化が可能な半導体集積回路装置、特に
、キャッシュメモリ等のオンチップメモリを有するマイ
クロプロセッサを提供することができる。
【図面の簡単な説明】
第1図は本発明の第1の実施例に係るマイクロプロセッ
サの構成を示すブロック図、第2図はマイクロプロセッ
サの命令実行ステージを示す説明図、第3図はマイクロ
プロセッサの動作タイミングを示すタイミングチャート
、第4図はアクセス予告信号発生回路の構成を示すブロ
ック図およびその動作を示すタイミングチャート、第5
図はキャッシュメモリの構成を示すブロック図、第6図
は電流制御信号発生回路を示す回路図およびその動作を
示すタイミングチャート、第7図はアクセス予告信号と
電源電流の関係を示すタイムチャート、第8図は電流制
御信号発生回路の構成を示すブロック図およびその動作
を示すタイミングチャート、第9図は電流制御信号発生
回路を示すブロック図およびその動作を示すタイミング
チャート、第10図はアドレスバッファの構成を示す回
路図、第11図はメモリセル周辺回路を示すブロック図
、第12図は出力ドライド回路を示す回路図、第13図
は命令−覧を示す図、第14図は第2の実施例に係るマ
イクロプロセッサの構成を示すブロック図、第15図は
第2の実施例で示されたマイクロプロセッサの命令実行
ステージを示す説明図、第16図は各種競合が行った時
のマイクロプロセッサの命令実行ステージを示す説明図
、第17図〜第20図は演算ユニット内の回路図、第2
1図は2命令間時処理における命令同士の組み合せルー
ルを示す説明図、第22図は分岐命令の命令実行ステー
ジを示す説明図、第23図はロードユース時の命令実行
ステージを示す説明図、第24図。 第13図は回路電流の変化とノイズ電圧の関係を示す説
明図である。 101・・・プログラムカウンタ、102・・・メモリ
アドレスカウンタ、103・・・命令キャッシュメモリ
、104・・・命令データレジスタ、111・・・メモ
リアドレスレジスタ、112・・・データキャッシュメ
モリ、113・・・メモリデータレジスタ、120・・
・第1の命令デコーダ、130・・・第2の命令デコー
ダ。 140・・演算器、150・・・レジスタファイル、1
60・・・入出力制御回路、410・・・メモリアクセ
ス予知回路、57o・・・電流制御信号発生回路、94
0・・・機能回路、1100・・・メモリセル、115
゜第 図 160・・・入出力側−回路 第 図 命令1 EX OAD TORE AC CA 命令2 F・・命令フェッチ D ・・・命令デコード EX・・命令實行 W・・・レジスタ書込み AC・・・アドレス計算 CA・・・キャッシュアクセス 図 410・・・メモリアクセス予知回路 420・・フリップフロップ 430・・・インバータ 第 図 510・・アドレスバッファ 520・・デコーダ・ドライバ 530 メモリアレイ 540・ セノス7ンブ 550・ 出力ドライバ 560・・書込み制−回路 570  ・電流側−信号発生回路 (B) 第 図 時間(1) 第 図 第 図 840・・・・・・・・・・・・・・・機能gem第 図 第10図 1011〜1014 1021 .1022 1031〜1033 1041〜1043 ・・・NPN トランジスタ ・・・抵抗 ・・・NMO5 ・・・定電流源 第 図 1141.1142・・NMO3 第 図 1211.1222・・・PMO5 1221・・・・・・・・・・NMOS1231 ・・
・・・・・・・・ダイオード1241・・・・・・・・
・・・・NPN トランジスタ1251.1252・・
・抵抗 1261・・・・・・・・・・・・コンデンサ第 図 EX ■ DD EX ■ DD EX DD DD F     D     EX 1F・・インストラクションフェッチ D・・・デコード EX・・・演算 ■・・・TEST又は、データキャッシュアクセスW・
・・レジスタ書き込み 第 図 X 無効化 第 図 第 図 第 図 第 図 クロック分配系 第 図 競合 2命令間時処理可能 第 図 F X 無効化部・・・・不活性化 無効化以外 ・活性化 第 図 無効化以外・・・活性化 第 図 (B)

Claims (1)

  1. 【特許請求の範囲】 1、少なくとも1つの機能回路ブロックを備えた電子回
    路において、前記各機能回路ブロックの動作開始を動作
    開始に先立つて、検出し、動作開始を検出した機能回路
    ブロックを動作開始に先行して活性化し、動作終了後に
    不活性化することを特徴とする消費電力制御方法。 2、メモリと、メモリアクセスに関連した情報に基づい
    て、メモリアクセスに先立つてメモリアクセスを検出す
    る検出手段と、検出手段がメモリアクセスを検出した場
    合に、メモリアクセスに先行して、メモリを活性化する
    手段とを有することを特徴とする半導体集積回路装置。 3、メモリと、命令を解釈し、その実行を指示する第1
    の命令デコーダと、メモリへのアクセスの発生をアクセ
    ス動作開始以前に検出してアクセス予告信号を発生する
    第2の命令デコーダと、予告信号を用いてメモリを先行
    活性化する活性化手段と、を有することを特徴とするマ
    イクロプロセッサ。 4、請求項3記載のマイクロプロセッサであつて、アク
    セス予告信号をメモリアクセスの実行ステージより少な
    くとも1ステージ前のステージで発生させる前記第2の
    命令デコーダと、アクセス予告信号の発生時刻からメモ
    リアクセス実行ステージの開始時刻までにメモリ回路の
    動作電流を、所定の動作電流値より小さい電流値から、
    所定の動作電流値まで所定の変化率で増加させる前記活
    性化手段とを有することを特徴とするマイクロプロセッ
    サ。 5、少なくとも1つの機能回路ブロックと、命令を解釈
    し、その実行を前記各機能回路ブロックに指示する第1
    の命令デコーダと、各機能回路ブロックの実行を実行開
    始以前に検出して、実行を検出した機能回路ブロックに
    動作予告信号を発生する第2の命令デコーダと、予告信
    号を用いて該当する機能回路ブロックを、その実行に先
    行して活性化する活性化手段と、を有することを特徴と
    するマイクロプロセッサ。 6、請求項2記載の半導体集積回路装置であつて、前記
    メモリはクロック同期式メモリであり、かつ、該半導体
    集積回路装置のシステムクロック信号と前記アクセス予
    告信号とからメモリ動作用メモリクロック信号を生成す
    る手段を有することを特徴とする半導体集積回路装置。 7、請求項2または6記載の半導体集積回路装置であつ
    て、 該半導体集積回路装置のシステムクロック信号と前記ア
    クセス予告信号とからメモリのセンスアンプ回路活性化
    パルスを生成する手段と、センスアンプ回路は該活性化
    パルスにより活性化される前記メモリを有することを特
    徴とする半導体集積回路装置。 8、電源系インダクタンスL、許容電源ノイズv_n、
    回路電流の切換え幅ΔIの機能回路ブロックと、該機能
    回路ブロックを、その動作開始に時間T先行して活性化
    する動作開始予告信号を発生する手段を有し、かつ、 前記、T、L、v_nおよびΔIは、 T≧L(ΔI/v_n) の関係を満たすことを特徴とする半導体集積回路装置。 9、動作の開始を予告する予告信号を受信し、該予告信
    号受信時より所定の時間をかけて回路電流を所定の値ま
    で増加することにより低電力消費モードより動作モード
    に移行し、動作の実行が終了後、所定の時間をかけて回
    路電流を低電力消費モード電流まで減少し、低電力消費
    モードに移行する機能を有することを特徴とする機能回
    路ブロック。 10、アクセスを予告するアクセス予告信号により活性
    化し、アドレス信号とリード・ライト制御信号とデータ
    入出力信号とに基づいて、所定のメモリ動作を実行する
    事を特徴とするメモリ。 11、請求項2、6、7もしくは8記載の半導体集積回
    路装置と、請求項3、4もしくは5記載のマイクロプロ
    セッサと、請求項9記載の機能回路ブロックと、請求項
    10記載のメモリと、のうち、少なくとも1つを有する
    ことを特徴とする情報処理装置。 12、n個(n≧2)の命令を同時に読み出してデコー
    ドし、n個の演算回路を用いて同時に演算を実行するマ
    イクロプロセッサにおいて、前記各演算回路を操作する
    命令を先行検出する検出手段と、検出手段により検出さ
    れた命令に対応した演算回路を1つ以上演算実行に先立
    つて活性化し、演算終了後に、活性化された演算回路を
    不活性化する手段を有することを特徴とするマイクロプ
    ロセッサ。 13、n個(n≧2)の命令を同時に読み出してデコー
    ドし、n個の演算回路を用いて同じに演算を実行し、同
    時に実行する命令間で各種の競合状態が生じることを検
    出する手段を備え、その際、同時に読み出された命令内
    の競合以前の命令を実行した後に、同時に実行読み出さ
    れた命令内の競合を含むその後の命令を実行する手段を
    有するマイクロプロセッサにおいて、前記競合状態の時
    、同時に読み出された命令内の競合以前の命令内で、前
    記各演算回路を操作する命令をそれぞれ先行検出する手
    段と、検出手段により検出された命令に対応した演算回
    路を1つ以上演算実行に先立て活性化し、演算終了後に
    、活性化された演算回路を不活性化する手段を有するこ
    とを特徴とするマイクロプロセッサ。 14、n個(n≧2)の命令を同時に読み出しデコード
    し、n個の演算回路を用いて同じに演算を実行し、同時
    に実行する命令間で各種の競合状態が生じていることを
    検出する手段を備え、その際、同時に読み出された命令
    内の競合以前の命令を実行した後に、同時に読み出され
    た命令内の競合を含むその後の命令を実行する手段を有
    するマイクロプロセッサにおいて、前記競合状態の時、
    同時に読み出された命令内の競合を含むその後の命令内
    で、前記各演算回路を操作する命令をそれぞれ先行検出
    する手段と、検出手段により検出された命令に対応した
    演算回路を1つ以上演算実行に先立つて活性化し、演算
    終了後に、活性化された演算回路を不活性化する手段を
    有することを特徴とするマイクロプロセッサ。 15、請求項13若しくは14のマイクロプロセッサの
    うち、少なくとも1つを有することを特徴とする情報処
    理装置。 16、n個(n≧2)の命令を同時に読み出してデコー
    ドし、n個の演算回路を用いて同時に演算を実行するマ
    イクロプロセッサにおいて、前記各演算回路を操作する
    命令を先行検出する検出手段と、検出手段により検出さ
    れない命令に対応した演算回路を1つ以上不活性化し、
    演算終了前に、不活性化された演算回路を活性化する手
    段を有することを特徴とするマイクロプロセッサ。 17、n個(n≧2)の命令を同時に読み出して同時に
    デコードし、n個の演算回路を用いて同時に演算を実行
    し、同時に実行する命令間で各種の競合状態が生じるこ
    とを検出する手段を備え、その際、同時に読み出された
    命令内の競合以前の命令を実行した後に、同時に実行読
    み出された命令内の競合を含むその後の命令を実行する
    手段を有するマイクロプロセッサにおいて、前記競合状
    態の時、同時に読み出された命令内の競合以前の命令内
    で、前記各演算回路を操作する命令をそれぞれ先行検出
    する手段と、検出手段により検出されない命令および、
    同時に読み出された命令内の競合を含むその後の命令に
    対応した演算回路を1つ以上不活性化し、演算終了前に
    、不活性化された演算回路を活性化する手段を有するこ
    とを特徴とするマイクロプロセッサ。 18、n個(n≧2)の命令を同時に読み出して、同時
    にデコードし、n個の演算回路を用いて同時に演算を実
    行し、同時に実行する命令間で各種の競合状態が生じて
    いることを検出する手段を備え、その際、同時に読み出
    された命令内の競合以前の命令を実行した後に、同時に
    読み出された命令内の競合を含むその後の命令を実行す
    る手段を有するマイクロプロセッサにおいて、前記競合
    状態の時、同時に読み出された命令内の競合を含むその
    後の命令内で、演算回路を操作する命令をそれぞれ先行
    検出する手段と、検出手段により検出されない命令およ
    び同時に読み出された命令内の競合以前の命令に対応し
    た演算回路を1つ以上不活性化し、演算終了前に、不活
    性化された演算回路を活性化する手段を有することを特
    徴とするマイクロプロセッサ。 19、請求項第16、17若しくは18記載のマイクロ
    プロセッサにおいて、演算回路を操作する命令を検出す
    る検出手段が、NOP命令の時は、検出しないことを特
    徴とするマイクロプロセッサ。 20、請求項第16、17、18若しくは19記載のマ
    イクロプロセッサのうち、少なくとも1つを有すること
    を特徴とする情報処理装置。
JP2205006A 1989-12-15 1990-08-03 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ Pending JPH04143819A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1019900020439A KR100239042B1 (en) 1989-12-15 1990-12-13 Low power consumption semiconductor integrated circuit device and microprocessor
DE4042674A DE4042674B4 (de) 1989-12-15 1990-12-17 Verfahren zur Durchführung arithmetischer Operationen bei paralleler Ausführung mehrerer Befehle und integrierte Halbleiterschaltungsanordnung zur Durchführung des Verfahrens
DE4040382A DE4040382C2 (de) 1989-12-15 1990-12-17 Integrierte Halbleiterschaltungsanordnung mit niederer Leistungsaufnahme und Verfahren zu ihrem Betrieb
US08/136,990 US5457790A (en) 1989-12-15 1993-10-18 Low power consumption semiconductor integrated circuit device and microprocessor
US08/462,662 US5734913A (en) 1989-12-15 1995-06-05 Low power consumption semiconductor integrated circuit device and microprocessor
US08/966,972 US6088808A (en) 1989-12-15 1997-11-10 Low power consumption semiconductor integrated circuit device and microprocessor
US10/067,287 US6671815B2 (en) 1989-12-15 2002-02-07 Low power consumption semiconductor integrated circuit device and microprocessor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1-324928 1989-12-15
JP32492889 1989-12-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP9207542A Division JPH10207859A (ja) 1989-12-15 1997-08-01 消費電力制御方法,半導体集積回路装置およびマイクロプロセッサ

Publications (1)

Publication Number Publication Date
JPH04143819A true JPH04143819A (ja) 1992-05-18

Family

ID=18171179

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2205006A Pending JPH04143819A (ja) 1989-12-15 1990-08-03 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ

Country Status (3)

Country Link
US (4) US5457790A (ja)
JP (1) JPH04143819A (ja)
KR (1) KR100239042B1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785761B2 (en) 1992-03-31 2004-08-31 Seiko Epson Corporation Selective power-down for high performance CPU/system
WO2007089014A1 (ja) * 2006-02-03 2007-08-09 National University Corporation Kobe University デジタルvlsi回路およびそれを組み込んだ画像処理システム
JP2007304909A (ja) * 2006-05-12 2007-11-22 Mitsubishi Electric Corp 電気機器
JP2008186854A (ja) * 2007-01-26 2008-08-14 Canon Inc 半導体集積回路
JP2011134288A (ja) * 2009-01-19 2011-07-07 Fujitsu Ltd コード生成装置及びコード生成方法
JP2014160455A (ja) * 2013-01-24 2014-09-04 Semiconductor Energy Lab Co Ltd 半導体装置

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143819A (ja) * 1989-12-15 1992-05-18 Hitachi Ltd 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ
JP3034362B2 (ja) * 1990-11-22 2000-04-17 株式会社日立製作所 周辺制御装置およびscsiバス制御装置
US6343363B1 (en) 1994-09-22 2002-01-29 National Semiconductor Corporation Method of invoking a low power mode in a computer system using a halt instruction
US5666537A (en) * 1994-08-12 1997-09-09 Intel Corporation Power down scheme for idle processor components
AU3313795A (en) * 1994-10-14 1996-04-26 Compaq Computer Corporation Circuit for placing a cache memory into low power mode in response to special bus cycles
US5687382A (en) * 1995-06-07 1997-11-11 Hitachi America, Ltd. High speed, reduced power memory system implemented according to access frequency
US5901322A (en) * 1995-06-22 1999-05-04 National Semiconductor Corporation Method and apparatus for dynamic control of clocks in a multiple clock processor, particularly for a data cache
JP3520611B2 (ja) * 1995-07-06 2004-04-19 株式会社日立製作所 プロセッサの制御方法
US5860125A (en) * 1995-11-08 1999-01-12 Advanced Micro Devices, Inc. Integrated circuit including a real time clock, configuration RAM, and memory controller in a core section which receives an asynchronous partial reset and an asynchronous master reset
US5808883A (en) * 1996-02-15 1998-09-15 Harris Corporation DC-to-DC converter having charge pump and associated methods
US5821740A (en) * 1996-02-15 1998-10-13 Harris Corporation DC-to-DC converter having fast over-current detection and associated methods
US5793193A (en) * 1996-02-15 1998-08-11 Harris Corporation DC-to-DC converter having enhanced control features and associated methods
US5907562A (en) * 1996-07-31 1999-05-25 Nokia Mobile Phones Limited Testable integrated circuit with reduced power dissipation
US5926640A (en) * 1996-11-01 1999-07-20 Digital Equipment Corporation Skipping clock interrupts during system inactivity to reduce power consumption
US8225003B2 (en) 1996-11-29 2012-07-17 Ellis Iii Frampton E Computers and microchips with a portion protected by an internal hardware firewall
US6167428A (en) * 1996-11-29 2000-12-26 Ellis; Frampton E. Personal computer microprocessor firewalls for internet distributed processing
US7634529B2 (en) 1996-11-29 2009-12-15 Ellis Iii Frampton E Personal and server computers having microchips with multiple processing units and internal firewalls
US6725250B1 (en) 1996-11-29 2004-04-20 Ellis, Iii Frampton E. Global network computers
US7805756B2 (en) * 1996-11-29 2010-09-28 Frampton E Ellis Microchips with inner firewalls, faraday cages, and/or photovoltaic cells
US7506020B2 (en) 1996-11-29 2009-03-17 Frampton E Ellis Global network computers
US7926097B2 (en) 1996-11-29 2011-04-12 Ellis Iii Frampton E Computer or microchip protected from the internet by internal hardware
US8312529B2 (en) 1996-11-29 2012-11-13 Ellis Frampton E Global network computers
US20050180095A1 (en) * 1996-11-29 2005-08-18 Ellis Frampton E. Global network computers
US7024449B1 (en) * 1996-11-29 2006-04-04 Ellis Iii Frampton E Global network computers
GB2323188B (en) 1997-03-14 2002-02-06 Nokia Mobile Phones Ltd Enabling and disabling clocking signals to elements
US6230278B1 (en) * 1997-05-02 2001-05-08 Texas Instruments Incorporated Microprocessor with functional units that can be selectively coupled
JP3790607B2 (ja) 1997-06-16 2006-06-28 松下電器産業株式会社 Vliwプロセッサ
WO1999019874A1 (en) * 1997-10-10 1999-04-22 Rambus Incorporated Power control system for synchronous memory device
US5835435A (en) * 1997-12-02 1998-11-10 Intel Corporation Method and apparatus for dynamically placing portions of a memory in a reduced power consumtion state
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
US6026479A (en) * 1998-04-22 2000-02-15 Hewlett-Packard Company Apparatus and method for efficient switching of CPU mode between regions of high instruction level parallism and low instruction level parallism in computer programs
JP2000112585A (ja) * 1998-10-02 2000-04-21 Toshiba Corp システムlsi及びパワーマネジメント方法
US20020124195A1 (en) * 1998-11-04 2002-09-05 Puthiya K. Nizar Method and apparatus for power management in a memory subsystem
US6141283A (en) * 1999-04-01 2000-10-31 Intel Corporation Method and apparatus for dynamically placing portions of a memory in a reduced power consumption state
US6745336B1 (en) * 1999-05-20 2004-06-01 Princeton University System and method of operand value based processor optimization by detecting a condition of pre-determined number of bits and selectively disabling pre-determined bit-fields by clock gating
JP2000347761A (ja) * 1999-06-02 2000-12-15 Alps Electric Co Ltd 制御回路
US6668299B1 (en) 1999-09-08 2003-12-23 Mellanox Technologies Ltd. Software interface between a parallel bus and a packet network
EP1160662A1 (en) 2000-03-10 2001-12-05 Texas Instruments France Processor with pointer tracking to eliminate redundant memory fetches
US6636976B1 (en) 2000-06-30 2003-10-21 Intel Corporation Mechanism to control di/dt for a microprocessor
JP4606567B2 (ja) * 2000-11-02 2011-01-05 ルネサスエレクトロニクス株式会社 半導体集積装置
JP3877518B2 (ja) * 2000-12-13 2007-02-07 松下電器産業株式会社 プロセッサの電力制御装置
US20020108064A1 (en) * 2001-02-07 2002-08-08 Patrick Nunally System and method for optimizing power/performance in network-centric microprocessor-controlled devices
US20020112193A1 (en) * 2001-02-09 2002-08-15 International Business Machines Corporation Power control of a processor using hardware structures controlled by a compiler with an accumulated instruction profile
US7107471B2 (en) 2001-03-21 2006-09-12 Apple Computer, Inc. Method and apparatus for saving power in pipelined processors
FR2823364B1 (fr) * 2001-04-05 2003-06-27 St Microelectronics Sa Dispositif et procede de protection partielle en lecture d'une memoire non volatile
US6820169B2 (en) * 2001-09-25 2004-11-16 Intel Corporation Memory control with lookahead power management
FI20011947A (fi) * 2001-10-05 2003-04-06 Nokia Corp Menetelmä suorittimen toiminnan ohjaamiseksi ja suoritin
JP4076762B2 (ja) * 2001-11-29 2008-04-16 東京エレクトロン株式会社 半導体ウエハ処理装置
JP2003296296A (ja) * 2002-01-30 2003-10-17 Oki Electric Ind Co Ltd マイクロコントローラ
US6842831B2 (en) * 2002-04-25 2005-01-11 Intel Corporation Low latency buffer control system and method
JP2003338200A (ja) * 2002-05-17 2003-11-28 Mitsubishi Electric Corp 半導体集積回路装置
US7134028B2 (en) * 2003-05-01 2006-11-07 International Business Machines Corporation Processor with low overhead predictive supply voltage gating for leakage power reduction
US6930534B1 (en) * 2003-05-16 2005-08-16 Transmeta Corporation Temperature compensated integrated circuits
US7457971B2 (en) * 2003-05-27 2008-11-25 Nxp B.V. Monitoring and controlling power consumption in a sequential logic circuit
US7328400B2 (en) * 2003-12-01 2008-02-05 International Business Machines Corporation Table column spanning
JP4421390B2 (ja) * 2004-06-21 2010-02-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路
US7562234B2 (en) * 2005-08-25 2009-07-14 Apple Inc. Methods and apparatuses for dynamic power control
JP2007272573A (ja) * 2006-03-31 2007-10-18 Hitachi Ltd 低消費電力化メモリ管理方法及びメモリ管理プログラム
KR20070101998A (ko) * 2006-04-13 2007-10-18 한국과학기술원 마이크로 컨트롤러의 프로그램 카운터 및 그 제어방법
US7882380B2 (en) 2006-04-20 2011-02-01 Nvidia Corporation Work based clock management for display sub-system
US7937606B1 (en) 2006-05-18 2011-05-03 Nvidia Corporation Shadow unit for shadowing circuit status
JP4209906B2 (ja) * 2006-08-02 2009-01-14 株式会社日立製作所 低消費電力メモリ管理方法及びその方法を用いた計算機
US7961872B2 (en) * 2006-12-04 2011-06-14 Lsi Corporation Flexible hardware architecture for ECC/HECC based cryptography
US8125796B2 (en) 2007-11-21 2012-02-28 Frampton E. Ellis Devices with faraday cages and internal flexibility sipes
KR20090085944A (ko) * 2008-02-05 2009-08-10 삼성전자주식회사 전력 소모를 감소시킨 프로세서 및 반도체 장치
JP5057350B2 (ja) * 2008-02-27 2012-10-24 パナソニック株式会社 半導体集積回路、およびこれを備えた各種装置
US9560994B2 (en) 2008-03-26 2017-02-07 Covidien Lp Pulse oximeter with adaptive power conservation
US8255726B2 (en) * 2008-07-18 2012-08-28 International Business Machines Corporation Zero indication forwarding for floating point unit power reduction
US20100064118A1 (en) * 2008-09-10 2010-03-11 Vns Portfolio Llc Method and Apparatus for Reducing Latency Associated with Executing Multiple Instruction Groups
US8336762B1 (en) 2008-11-17 2012-12-25 Greenwise Bankcard LLC Payment transaction processing
KR101581414B1 (ko) 2009-02-05 2015-12-30 삼성전자주식회사 전력 소모 감소를 위한 재구성 가능 프로세서 및 그 동작 방법
US8073995B2 (en) * 2009-10-19 2011-12-06 Research In Motion Limited Efficient low-latency buffer
US8429735B2 (en) 2010-01-26 2013-04-23 Frampton E. Ellis Method of using one or more secure private networks to actively configure the hardware of a computer or microchip
JP5806529B2 (ja) 2011-07-06 2015-11-10 ルネサスエレクトロニクス株式会社 半導体装置、それを用いた無線通信端末、及びクロック周波数制御方法
JP5946251B2 (ja) * 2011-07-06 2016-07-06 ルネサスエレクトロニクス株式会社 半導体装置およびシステム
US8909961B2 (en) 2011-11-29 2014-12-09 Ati Technologies Ulc Method and apparatus for adjusting power consumption level of an integrated circuit
JP5980035B2 (ja) * 2012-07-31 2016-08-31 キヤノン株式会社 情報処理装置およびその制御方法
JP6236325B2 (ja) * 2014-01-27 2017-11-22 カルソニックカンセイ株式会社 プロセッサの制御装置及び制御方法
US10188330B1 (en) 2014-02-05 2019-01-29 Covidien Lp Methods and systems for determining a light drive parameter limit in a physiological monitor
JP7200507B2 (ja) * 2018-06-06 2023-01-10 富士通株式会社 半導体装置及び演算器の制御方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3736569A (en) * 1971-10-13 1973-05-29 Ibm System for controlling power consumption in a computer
JPS533120A (en) * 1976-06-30 1978-01-12 Canon Inc Control circuit
DE2825770A1 (de) * 1978-06-13 1980-01-03 Licentia Gmbh Schaltungsanordnung zur verminderung der verlustleistung
US4236205A (en) * 1978-10-23 1980-11-25 International Business Machines Corporation Access-time reduction control circuit and process for digital storage devices
JPS5775335A (en) * 1980-10-27 1982-05-11 Hitachi Ltd Data processor
JPS6145354A (ja) * 1984-08-10 1986-03-05 Nec Corp マイクロプロセツサ
JPS63175910A (ja) * 1987-01-16 1988-07-20 Mitsubishi Electric Corp デ−タ処理装置
IT1216087B (it) * 1988-03-15 1990-02-22 Honeywell Bull Spa Sistema di memoria con selezione predittiva di modulo.
DK174975B1 (da) * 1988-05-06 2004-04-05 Toppan Printing Co Ltd Integreret kredsløbskort
US4961172A (en) * 1988-08-11 1990-10-02 Waferscale Integration, Inc. Decoder for a memory address bus
JPH04143819A (ja) * 1989-12-15 1992-05-18 Hitachi Ltd 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ
US5276824A (en) * 1990-01-08 1994-01-04 Motorola, Inc. Data processor having a multi-stage instruction pipe and selection logic responsive to an instruction decoder for selecting one stage of the instruction pipe
US5295249A (en) * 1990-05-04 1994-03-15 International Business Machines Corporation Compounding preprocessor for cache for identifying multiple instructions which may be executed in parallel
EP0498067A2 (en) * 1991-02-08 1992-08-12 International Business Machines Corporation Microcode generation for a scalable compound instruction set machine
US5203003A (en) * 1991-03-28 1993-04-13 Echelon Corporation Computer architecture for conserving power by using shared resources and method for suspending processor execution in pipeline
US5392437A (en) * 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785761B2 (en) 1992-03-31 2004-08-31 Seiko Epson Corporation Selective power-down for high performance CPU/system
US7506185B2 (en) 1992-03-31 2009-03-17 Seiko Epson Corporation Selective power-down for high performance CPU/system
US8117468B2 (en) 1992-03-31 2012-02-14 Chong Ming Lin Selective power-down for high performance CPU/system
WO2007089014A1 (ja) * 2006-02-03 2007-08-09 National University Corporation Kobe University デジタルvlsi回路およびそれを組み込んだ画像処理システム
JPWO2007089014A1 (ja) * 2006-02-03 2009-06-25 国立大学法人神戸大学 デジタルvlsi回路およびそれを組み込んだ画像処理システム
JP4521508B2 (ja) * 2006-02-03 2010-08-11 国立大学法人神戸大学 デジタルvlsi回路およびそれを組み込んだ画像処理システム
US8291256B2 (en) 2006-02-03 2012-10-16 National University Corporation Kobe University Clock stop and restart control to pipelined arithmetic processing units processing plurality of macroblock data in image frame per frame processing period
JP2007304909A (ja) * 2006-05-12 2007-11-22 Mitsubishi Electric Corp 電気機器
JP2008186854A (ja) * 2007-01-26 2008-08-14 Canon Inc 半導体集積回路
JP2011134288A (ja) * 2009-01-19 2011-07-07 Fujitsu Ltd コード生成装置及びコード生成方法
JP2014160455A (ja) * 2013-01-24 2014-09-04 Semiconductor Energy Lab Co Ltd 半導体装置
US10088886B2 (en) 2013-01-24 2018-10-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising power gating device

Also Published As

Publication number Publication date
KR910012910A (ko) 1991-08-08
US6671815B2 (en) 2003-12-30
US5734913A (en) 1998-03-31
US5457790A (en) 1995-10-10
US20020099963A1 (en) 2002-07-25
US6088808A (en) 2000-07-11
KR100239042B1 (en) 2000-01-15

Similar Documents

Publication Publication Date Title
JPH04143819A (ja) 消費電力制御方法、半導体集積回路装置およびマイクロプロセツサ
US6389523B1 (en) Cache memory employing dynamically controlled data array start timing and a microprocessor using the same
JP4923193B2 (ja) ダイナミックランダムアクセスメモリ用の低電力リフレッシュ回路および方法
JP3734888B2 (ja) 電力管理機能を備えたマイクロプロセッサ
JP3504104B2 (ja) シンクロナスdram
US6769076B1 (en) Real-time processor debug system
US7149141B2 (en) Memory device and method having low-power, high write latency mode and high-power, low write latency mode and/or independently selectable write latency
US20040022116A1 (en) Method and apparatus for saving current in a memory device
Gary et al. PowerPC 603, a microprocessor for portable computers
US7890782B2 (en) Dynamic power management in an execution unit using pipeline wave flow control
US6961276B2 (en) Random access memory having an adaptable latency
JPH07254278A (ja) 自動プリチャージ機能を有する同期式メモリ装置
JPH1166851A (ja) クロックシフト回路装置、クロックシフト回路およびこれを用いた同期型半導体記憶装置
JP2004502268A (ja) 高速メモリにおける同時差動データ感知および捕捉のための方法ならびに装置
JP2007220148A (ja) マイクロプロセッサ
JP2005235203A (ja) マイクロプロセッサ
JPH07141259A (ja) キャッシュタグメモリ
JP3729827B2 (ja) マイクロプロセッサ
JP3718513B2 (ja) 情報処理装置
JPH10207859A (ja) 消費電力制御方法,半導体集積回路装置およびマイクロプロセッサ
US6789180B1 (en) Method and apparatus for mask and/or counter address registers readback on the address bus in synchronous single and multi-port memories
USRE38903E1 (en) Method and apparatus for generating a pulse
JP2006351034A (ja) 半導体集積回路
US6154820A (en) Arrangement for storing program instructions and data in a memory device and method therefor
US7149912B2 (en) Control method and circuit for stopping clock signal