JPH03114226A - 微細構造デバイスにおけるSiエッチング残留物除去方法 - Google Patents

微細構造デバイスにおけるSiエッチング残留物除去方法

Info

Publication number
JPH03114226A
JPH03114226A JP2102777A JP10277790A JPH03114226A JP H03114226 A JPH03114226 A JP H03114226A JP 2102777 A JP2102777 A JP 2102777A JP 10277790 A JP10277790 A JP 10277790A JP H03114226 A JPH03114226 A JP H03114226A
Authority
JP
Japan
Prior art keywords
etching
silicon
vessel
plasma
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2102777A
Other languages
English (en)
Other versions
JPH0642470B2 (ja
Inventor
Daniel L Flamm
フラム,ダニエル ローレンス
Dan Maydan
メイダン,ダン
David N Wang
ワン,デイヴィッド ニン―コウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
AT&T Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AT&T Technologies Inc filed Critical AT&T Technologies Inc
Publication of JPH03114226A publication Critical patent/JPH03114226A/ja
Publication of JPH0642470B2 publication Critical patent/JPH0642470B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 主発凱皇丘且 本発明はドライエツチングプロセスにより、デバイス中
に微細線パターンを描く方法を用いた集積回路のような
微細構造デバイスの製作に係る。
半導体ウェハのような加工材のパターン形成用に、ドラ
イプロセス技術を用いることについて、かなりの関心が
もたれている。そのような技術に対する関心は、標準的
な湿式エツチングに比べ、それらは一般に解像度がよく
、且つ寸法的にも形状的にも制御性がよいことに起因し
ている。従って、ドライエツチングはたとえば大規模集
積(LSI)デバイスを形成するための半導体ウェハの
プロセスにおけるパターン描画用に、次第に多く用いら
れつつある。
気体プラズマの使用を含む各種のドライエツチングプロ
セスは、たとえばCoJoMogab  (シー・ジエ
ー・モガブ)及びW、  R,Harshbarger
(ダプリュ・アール・バーシュバーガー)による“パタ
ーン転写のためのプラズマ補助エツチングJourna
l ofVacaum 5cience and Te
chnola y  (ジャーナル オブ バキュウム
 サイエンス アンド テクノロジー)、16 (2)
、1979年3月/り月に述べられているように、よく
知られている。そこに示されているように、最近の仕事
は荷電粒子照射により化学反応が増進される様式で反応
性ガスプラズマを用いる現像プロセスに、特に重点をお
いてきた。
最近シリコン表面に微細パターン描画のために、信頬性
あるプラズマエツチングプロセスを考案としようとする
ことに、最近かなりの努力が払われてきた。特に実際的
な関心の払われた仕事は、多結晶シリコンのエツチング
に向けられてきた。多結晶シリコン薄膜は、ドープされ
たものもドープされないものも、金属−酸化物一半導体
(MOS)型の64にダイナミック・ランダムーアクセ
スーメそり (RAMS)のような商業的に重要なLS
Iデバイスの要素である層を構成する。従って、プラズ
マエツチングによるシリコンのパターン形成の改善され
た方法は、もし実現されれば、そのようなデバイス及び
シリコン基板又は層を含む他の構造の価格を著しく下げ
、且つ歩留りを改善するであろう。
オ虞」ト乞」貞 本発明は次のようなプロセス工程に従い微細構造デバイ
スの製作方法に用いるための、プラズマエツチングプロ
セスを実現する。すなわち、デバイスの他の表面に比べ
より高い選択性で、デバイスのシリコン表面をドライエ
ツチング装置中でエツチングすべき工程を少くとも一つ
含む。装置はアノード電極及びカソード電極間に形成さ
れたプラズマを含み、電極の一つはエツチングすべきデ
バイスを保持する。プラズマは電極間の気体雰囲気間に
電界を印加することから生じる。気体雰囲気はフッ素を
含む気体混合物から成り、混合物は電界の影響下で、装
置中にシリコンをエツチングするフッ素を含む物質と反
応生成物を発生させ、それらはシリコン表面のエツチン
グに比べ、デバイスの他の表面は本質的な量をエツチン
グしない。
単結晶シリコン及びドープされたあるいはされない多結
晶シリコンのドライエツチングは、フッ素を含む気体混
合物から生じたプラズマ中の指定された条件下で、反応
容器中において達成される。
もしフッ素を含む気体のみを容器に導入すると、エツチ
ングされた材料の端部プロフィルは、完全に非等方的で
ある。たとえば塩素のような各種の他の気体を、フッ素
を含む気体に加えることにより、混合されたエツチング
プラズマが形成され、その場合アンダーカット(最大横
方向エツチング)の量は、混合物中に含まれる追加成分
の体積パーセントの関数である。
シリコンに対する本質的に一様で、且つ比較的高いエツ
チング速度が、比較的低いパワーレベルで実現される。
シリコンに対するエツチング速度は、LSIデバイスに
含まれる各種の他の材料(たとえば、二酸化シリコン)
より、著しく高い。
更に、このプロセスで得られる端部プロフィルは、形状
の寸法及び形状間の間隔には、木質的に独立である。“
シリコン”という用語は、ここ及び請求範囲において、
ドープ及びドープされない単結晶及び多結晶シリコンを
含む一般的な意味で用いる。
先に提案された反応性プラズマを用いたドライエツチン
グプロセスにおいて、エツチングすべき材料は反応容器
中に含まれる電極の一つの上に置かれる。そのような型
のエツチング装置の一つにおいては、材料は接地された
アノード電極上に置かれる。別のそのような装置におい
ては、材料はその駆動されたカソード電極上に置かれる
。いずれの型の装置も、シリコンのプラズマエツチング
をするフッ素を含む気体混合物とともに用いることがで
きる。
特に有利な装置においては、材料が駆動されたカソード
電極上にマウントされるプラズマエツチング装置中であ
らかじめ処理された材料は、更に本発明に従い処理され
る。従って、ここでの主な重点は材料が駆動カソード電
極上に置かれる装置中で実施されるエツチング技術に置
く。そのようにすると、連続した高歩留りの製作工程が
実現される。
駆動カソード電極上にマウントされた材料の荷電粒子照
射により化学反応が増進される方式で、反応性気体プラ
ズマを用いるプロセス及び装置は知られている。そのよ
うなプロセスの有利な一つが、Proc、6th In
t’l Vacuum Can r、197cJa a
n。
J、A  1.Ph s−,5upp1.2 pt、1
. (プロシーデインゲス シックス インターナショ
ナル バキュームコンブレス 1974.ジャパン、ジ
ュー。アプライド フィジックス サブリメンタル2.
ピーティー 1.)435−438頁、1974に述べ
られている。
たとえば、反応性プラズマエツチングは第1図に示され
た型の平行平板容器又は第2図に示された型のいわゆる
多面体容器中で行われる。
第1図に示された平行平板反応容器の具体例は、円筒状
非導性部12及び2個の導電性プレート14及び16に
より規定されたエツチング容器10から成る。たとえば
、部分12はガラスで作られ、プレート14及び16は
それぞれアルミニウムで作られる。加えて、示された反
応容器は、えとえばアルミニウムでできた導電性試料ホ
ルダ18を含む。−例ではホルダ18の底面はその上に
7個の7.6c+++(3インチ)ウェハを置くように
設計された25.4cm(10インチ)の円状表面を成
す。
底面(すなわち前面)をエツチングすべきウェハ20は
第1図において、ホルダ18の底面上にマウントするよ
うに示されている。ウェハ20は窓が貫通しているカバ
ープレート24により、ホルダ18上に位置を定めて保
持されている。窓はウェハ20と位置合わせをして配置
されており、位置合わせされた各ウェハより、わずかに
直径が小さい。そのようにして、各ウェハの前面の主要
部分は、エツチングのために露出される。何らかの標準
的な手段(図示されていない)により、カバープレート
24はホルダ18に固定される。
第1図のエツチング装置に含まれるカバープレート24
は、エツチングガスと化学的に反応して不揮発性物質を
形成しない低スパツタ材で作られている。適当なそのよ
うな材料には、陽極酸化したアルミナ及び溶融石英が含
まれる。
第1図に示される試料ホルダ24はラジオ周波同調回路
26を経て、ラジオ周波発振器28に容量的に結合され
、発振器は一例として、13.56メガヘルツの周波数
でホルダ18を駆動するよう設計されている。更に、ホ
ルダ18はインダクタ30及びキャパシタ32から成る
フィルタ回路を通して、メータ34に結合され、メータ
はホルダ18に印加されるラジオ周波電圧のピーク値を
近似する直流電圧を示す。
第1図において、端部プレー1−14は接地のような基
準電位点に接続されている。プレート14は示された反
応容器のアノードである。試料ホルダ18は反応容器の
駆動されるカソードを構成する。第1図に示された型の
具体的な反応容器の一例においては、アノード−カソー
ド間隔は約25.4cm(10インチ)でアオードプレ
ートの直径は約43.2cm(1フインチ)であった。
第1図の装置の端部プレート16はまた、接地は接続さ
れている。加えて、ホルダ18を囲む開目端円筒シール
ド36は、プレート16、従って接地に接続されている
。ホルダ18の一部はプレート16を通り、非専電性套
管38によりそれから電気的に接続されている。
フッ素を含む気体雰囲気が第1図の容器10中に実現さ
れる。気体は標準的な供給源40から、示された容器中
に流れるよう制御される。加えて、通常のポンプ系42
により、先に述べた低圧条件が容器中に維持される。
フッ素を含む適当な気体化合物を容器10(第1図)中
に導入し、以下で詳細に述べるように、アノード14及
びカソード18間に電界を印加することにより、容器1
0中に反応性プラズマが発生する。その中に作られたプ
ラズマはエツチングすべき試料表面のすぐ近くに、均一
な暗部空間をもつ。エツチングプロセス中試料表面に形
成された揮発性生成物は、系42により容器から排出さ
れる。
上に述べた型の量産性多面体反応容器中でエツチングプ
ロセスを行うのが有利である。その具体例の一つの概略
を、第2図に示す。
第2図に示された系は、たとえばアルミニウム又はステ
ンレススチールのような導電性材料で作られた円筒状エ
ツチング容器100から成る。容器100内の中ノ0弓
こ、試料ホルダ102がマウントされている。第2図に
示された特定のホルダ102の例は、6個の平坦な表面
又は小表面を含む。具体例として、各表面はその上に4
個の15.2cm(6インチ)ウェハをもつように設計
されている。そのようなウェハの一つは、第2図で参照
数字104と記されている。
容器100及び第2図の試料ホルダ102間にはさまれ
て、支持された格子要素106がある。
加えて、第1図の説明に関連して上で述べたのと同様に
して、第2図の装置の試料ホルダは、ラジオ周波発振器
108及び付随した通常の要素に、容量的に結合されそ
れにより駆動される。
第2図にも概略的に示されるように、指定された気体又
は気体混合物を、示された反応容器中に導入するための
気体供給源110及び容器中に先に述べた低圧条件を実
現するための、標準的なポンプ系112がある。
第3図は第1図の容器10又は第2図の容器100中で
エツチングすべきウェハの一つの一部分の断面を示す。
第3図において、標準的にパターン形成されたマスクN
46が、たとえば約1ないしlOオームセンチメートル
の抵抗率を示すようにp又はn形にドープされた単結晶
シリコンでできたaH7iE48上に形成されているの
が示されている。シリコン基板48のマスクされない部
分は、等方的にエツチングされ破線49で示されるよう
に、その中にくぼみを形成する。
単結晶シリコン中に形状を等方的にエツチングできる能
力は、微細電子デバイスの製作に関連して、実用上重要
である。従って、たとえば第3図の基板48中にエツチ
ングされたくぼみ49は、LSIデバイス製作プロセス
の一例であり、その場合水されたくぼみ中に順次形成さ
れた誘電材料は、基板48を含むLSIチップ中の隣接
した要素を電気的に分離する働きをする。
製作プロセス中基板又は単結晶シリコン層の等方的エツ
チングを必要とする他のデバイス構造は、当業者には周
知である。更に、ここで述べた等方性エツチングプロセ
スはまた、シリコンウェハの薄化工程にも適用でき、そ
のような薄化は比較的速く、且つ均一に行われる。
多結晶シリコン層の等方性エツチングは、LSIデバイ
スの製作で特に重要である。従って、たとえばMOS 
 RAMの作製において、多結晶シリコンの薄い層を精
密にパターン形成する製作工程が、典型的な場合必要で
ある。たとえば、そのようなデバイス製作工程の一つに
は、多結晶2レベルと共通に呼ばれるドープされていな
い多結晶シリコン層の非等方性エツチングが最初に含ま
れる。典型的な場合、多結晶2レベルは非等方性にエツ
チングされた後、第7図の説明に関連して、以下の詳細
な論議から明らかなるように、あらかじめパターン形成
されたドープ多結晶シリコン層(いわゆる多結晶ルベル
)の下の部分を含む。
もし、これらの下の多結晶2部分が製作中−の層構造か
ら除去されなければ、欠陥のあるデバイスが生じやすい
。従って、下の多結晶2部分の除去が必要である。その
ような除去はここで述べた型の乾式エツチング工程中で
行うと有利である。
第4図はエツチングすべき多結晶シリコン層を含む理想
的なデバイス構造の一部分の断面を概略的に示す。第4
図において、二酸化シリコンの薄い〔たとえば、50ナ
ノメータ(500オングストローム)厚〕の層50が、
単結晶シリコン部52上に示されている。層50の最上
部に、約500ナノメータ(5000オングストローム
)厚の多結晶シリコンの層54がある。エツチングすべ
きN54の最上部に、標準的にパターン形成されたマス
ク層56がある。
第4図は層54がアンドープ又はドープ多結晶シリコン
で作られた一般的な図と考えるべきである。第4図の層
54の等方性エツチングは、曲線の破線で表されている
。完全に等方的なプロフィルが、線58により表されて
いる。そのようなプロフィルにおいて、横方向のエツチ
ング(アンダーカット)の最大量は第4図中で土により
表され、エツチングされた層54の厚さに等しい。
ここで、“ドープされた”多結晶シリコンという用語は
、リンのようなドーパントが添加された多結晶シリコン
層をさす。たとえば、そのような層中のドーパントの濃
度は、20ないし100オームセンチメートルの範囲の
抵抗となるように制御される。
第3図及び第4図に示されたパターン形成された層46
及び56を形成するのに、各種の材料が適している。こ
れらの材料には、有機又は非有機レジスト、二酸化シリ
コン、酸化マグネシウム、酸化アルミニウム、チタン、
タンタル、酸化タングステン、酸化コバルト、チタン、
タンタル及びタングステンの耐熱性シリサイドが含まれ
る。これらの材料で作られたマスク層は、標準的なリン
グラフィ及びエツチング技術を用いて、パターン形成さ
れる。
単結晶シリコン及びドープ又はアンドープ多結晶シリコ
ンの完全に等方的なプラズマ補助エツチングは、純粋な
三フッ化塩素気体雰囲気中で行われる。先に述べたよう
に、エツチングはたとえば第1図中に示された型の平行
平板反応容器又は第2図中に示された型の多面体反応容
器中で行われる。そのような装置中でのエツチングの場
合、具体例に従うと約67マイクロバール(50マイク
ロメータ)の圧力が、エツチング容器中に作られる。平
行平板反応容器の場合、エツチング速度差への三フッ化
塩素の気体流は、たとえば1分当り約10立方センチメ
ートルが有利である。多面体反応容器の場合、三フフ化
塩素の流量は、たとえば1分当り約30立方センチメー
トルにされる。
(周知のように、選択される具体的な気体流速は、具体
的な反応容器の設計とその中での所望のエツチング速度
に、大きく依存する。)更に、たとえば1平方センチメ
ートル当り約、60ワツトのパワー密度が、多面体反応
容器中でエツチングすべき試料表面に実現される。
先に述べた具体例で実現される具体的な条件の場合、単
結晶シリコン、アンドープ多結晶シリコン及びドープ多
結晶シリコンは、それぞれ1分当り約175.120及
び120ナノメータ(1750゜1200及び1200
オングストローム)速度で、先に述べた装置中でそれぞ
れ等方的にエツチングされる。
上に述べた型の等方性エツチングプロセスは、たとえば
二酸化シリコン及びHPR−204(フィリップエイ 
ハントケミカル会社 バリサブスパーク ニュージャー
ジから市販されている)のような標準的なレジストの両
方に対し、比較的高いエツチング速度を有する。先に述
べた具体的なプロセスの例では、シリコンは二酸化シリ
コンより約50倍、レジストより約5倍速くエツチング
される。更に、先に述べたプロセスは又、リンドープガ
ラス及びシリコン窒化物のような材料より、約50倍速
くシリコンをエツチングする。LSIデバイス製作にお
けるそのような比較的高いエツチング速度差の実用的な
重要性は、明らかである。
三フッ化塩素を用いた上に述べた等方的ブラズマ補助エ
ツチングの例は、単に例を示すことを目的としたもので
ある。より一般的には、そのようなエツチングは圧力、
気体流速及びパワー密度をそれぞれ2.7ないし666
マイクロバール(2ないし500マイクロメータ)、1
分当り2ないし300立方センチメートル及び1平方セ
ンチメートル当り0.01ないし1ワツトに選んで行わ
れる。
先に述べたプラズマ補助エツチングプロセスにおいて、
三フッ化塩素は印加電界の影舌で、反応容器中で分解し
フッ素原子を生じ、それはシリコンを等方的に速くエツ
チングするが、二酸化シリコンのような他の材料は比較
的ゆっくりエツチングする。更に、エツチング中容器内
に形成される他の反応生成物も又、シリコンがエツチン
グされる速度に比べゆっ(りと、二酸化シリコンのよう
な他の試料材料をおかす。
特定の条件下では、二酸化シリコンのような他の材料に
比べ選択性の高いドライエツチングプロセスで、等方的
にシリコンをパターン形成するために、他のフッ素を含
む気体化合物が適当である。
これらの他の化合物も又反応容器中で分解し、フッ素系
物質を形成し、それらはシリコンをエツチングし、シリ
コンのエツチングに比ベニ酸化シリコンのような他の材
料は本質的にはエツチングしない反応生成物を形成する
。三フッ化窒素CNF3)、三フッ化臭素(BrF+)
及び三フッ化ヨウ素(IF3)のような他のフッ素を含
む気体化合物も、たとえば二酸化シリコンに比べ選択性
よく等方的にシリコンをエツチングするために使用でき
る。そのようなエツチングはプラズマ補助エツチングプ
ロセスで、第1図又は第2図に示された型の装置中で行
われる。
そのような装置において、NFS 、Brh及びIF3
を用いたプラズマ補助エツチングは、上の(JFffの
場合の範囲に、圧力、気体流量及びパワー密度を選択す
ることにより行われる。
先に述べたフッ素を含む各気体化合物は、他の成分と混
合しなくとも、それ自身シリコンを等方的にエツチング
する効果がある。しかし、気体混合物を用いることによ
り、エツチングパラメータのいくつかを選択的に変える
ことが容易である。
従って、たとえば三フッ化塩素はシリコンのエツチング
速度を選択的に減すために、アルゴンのような不活性ガ
ス又は四フフ化炭素又は塩素と混合してもよい。更に、
フッ素又は四フッ化炭素又は塩素を三フッ化塩素に加え
ると、シリコンエツチングプロセス申越るアンダーカッ
トの量を、選択的に制御することが可能になる。塩素を
添加気体として用いたそのような制御の具体例が、第5
図に示されている。
第5図のグラフにおいて、エツチング申越るアンダーカ
ットの量が、三フッ化塩素及び塩素の混合物中に含まれ
る三フッ化塩素の体積パーセントを変えて示されている
。第5図中のIa/bは第6図中に実効的に規定されて
いる。第6図はエツチングすべき層構造デバイスを断面
で示す。
第6図において、エツチングすべき多結晶シリコン層6
0は、たとえば二酸化シリコンでできた層62が上にあ
るように示されている。層60の厚さbで印されている
。多結晶シリコン層60の最上部は、たとえばレジスト
材料でできたパターン形成された層64である。層60
中の最大横方向エツチング寸法は、aで印されている。
最大アンダーカットの場合、エツチングプロセスは完全
に等方的で、aはbに等しい。
第5図に示されるように、完全に等方的なエツチング(
a/b=1)は、反応性スパッタエツチング容器中の気
体雰囲気が、完全に三フッ化塩素で作られたときに起る
。三フッ化塩素の体積パーセントがそれに塩素を加える
ことにより減少すると、横方向のアンダーカットの量は
減少する。混合物の三フッ化塩素の量がゼロに減少し、
容器中に純粋な塩素雰囲気が残ると、横方向アンダーカ
ットは起らず、エツチングプロセスは完全に非等方的(
a / b = O)になる。
現在のドライエツチングプロセスを応用すると特に有利
なことの一つは、LSI  MOS  RAM の製作
である。そのようなデバイスの一部の概略的な断面図が
、第7図に示されている。
第7図はシリコン基板70及び二酸化シリコン領域72
を含む。領域72の一部は多結晶1層と印されたドープ
多結晶シリコン層74を包む。アンドープ多結晶シリコ
ンN76 (多結晶2)が、酸化物領域72の最上部に
配置されている。やはり二酸化シリコンでできたパター
ン形成されたマスク層78が、多結晶2層76の最上部
に、標準的な技術により形成される。
第7図に表された型のデバイスの製作工程において、酸
化物層72は最初に標準的なエツチング工程でパターン
形成され、その場合酸化物層72は典型的には多結晶1
層74の右の垂直な端部に対し、アンダーカットされて
いる。デバイスの具体例において、アンダーカットの量
は約200ナノメータ(2000オングストローム)で
あった。
従って、多結晶2層の以後の形成中、多結晶シリコン材
料のいわゆるフィラメントが、多結晶1層74の下に形
成される。第7図において、多結晶シリコンフィラメン
トは参照数字80で印されている。フィラメントが以下
のプロセスで除去されなければ、当御者には周知のよう
に、それを原因として、デバイスの故障が発生すること
がある。
第7図の多結晶2層76中に形成すべきパターンは、マ
スク層78により規定される。次に、層76の非等方性
エツチングを行う。そのようなエツチングの結果、破線
83及び84間の層76の区切られた部分82が除去さ
れる。しかし、そのような非等方性エツチングは、多結
晶シリコンフィラメント80は除去しない。
フィラメント80はフッ素を含む気体化合物を用いて、
ここで述べた型の等方性プラズマ補助エツチング工程で
除去される。そのようなエツチング工程は、多結晶シリ
コンフィラメント80を除去するのに効果的である。(
もちろん、先に多結晶2層76中に形成され、垂直な破
線83.84により規定される開口は、わずかに横方向
に拡大する。)更に、二酸化シリコンに対する本発明の
エツチングプロセスの優れた選択性により、区切られた
領域82の下の酸化物層72の部分は、多結晶シリコン
フィラメント80のエツチング中、比較的影響を受けな
い。たとえば、エツチングすべきフィラメントの横方向
の大きさが200ナノメータ(2000オングストロー
ム)ならば、層の問題となる部分は、わずかに約4ナノ
メータ(40オングストローム)だけ薄くなる。
ここで述べた本発明のエツチングプロセスは、比較的高
いエツチング速度及び試料間とともに各試料で比較的均
一性の高いエツチング速度を実現する。実際、そのよう
なエツチング速度の変化は、約5パーセントを越えなか
った。
加えて、ここで述べたプロセスはどのような近接効果を
も示さない。(周知のように、近接効果はマスク要素間
の間隔の関数としての、等方性エツチング中のアンダー
カットの横方向の大きさである。)より一般的には、こ
れらのプロセスのそれぞれの端部プロフィル、エツチン
グ速度及び選択性は、エツチング操作に含まれる具体的
なパターン形状、寸法及びマスク材料には本質的に独立
であることが確認された。又、重要なことは、ここで述
べたプロセスは比較的低いパワーレベル及び二酸化シリ
コンのような材料に対し、高エツチング速度の差で行わ
れることである。
【図面の簡単な説明】
本発明のいくつかの実施例について、添付した図面を参
照して、例をあげて述べる。図面において、 第1図は本発明のプロセスを実施するとかできる平行平
板反応容器の概略を示す図、 第2図は本発明のプロセスが実行可能な多面体反応容器
の概略を示す図、 第3図は本発明に従いエツチングできるマスクされた単
結晶シリコン部の断面図、 第4図は本発明に従いエツチングされるマスクされた多
結晶シリコン層の断面図、 第5図は第6図とともにエツチング混合物中に含まれる
フッ素を含む気体の体積パーセントに従い、エツチング
された層のアンダーカットが変化する様子を示す図、 第7図は本発明に従い製作されるLSIデバイスの一部
を示す断面図である。 〈主要部分の符号の説明〉 7 2・・・・・・二酸化シリコン領域 0・・・・・・シリコンフィラメント

Claims (1)

  1. 【特許請求の範囲】 乾式エッチング装置中のフッ素を含む気体化合物からな
    る気体雰囲気に作られたプラズマ中で、微細構造デバイ
    スの少なくとも1つの表面中に横方向に形成されたシリ
    コンフィラメントをエッチングする工程を含む微細構造
    デバイスの製造法であって、 該シリコンフィラメンのエッチングに比べ該デバイスの
    該少なくとも1つの表面は本質的にエッチングしない反
    応生成物と、シリコンエッチングフッ素系物質を提供す
    る該フッ素含有気体化合物を供給することにより該少な
    くとも1つの表面の材料に対し選択的にシリコンフィラ
    メントを等方的エッチングすることからなり、 該フッ素含有気体化合物は、該1つの表面が二酸化シリ
    コンの場合にあっては、三フッ化塩素、三フッ化窒素、
    三フッ化臭素及び三フッ化ヨウ素の少なくとも一つから
    なるものである微細構造デバイスの製造法。
JP2102777A 1980-04-07 1990-04-18 微細構造デバイスにおけるSiエッチング残留物除去方法 Expired - Lifetime JPH0642470B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US138,083 1980-04-07
US06/138,083 US4310380A (en) 1980-04-07 1980-04-07 Plasma etching of silicon

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP56501391A Division JPS57500399A (ja) 1980-04-07 1981-03-20

Publications (2)

Publication Number Publication Date
JPH03114226A true JPH03114226A (ja) 1991-05-15
JPH0642470B2 JPH0642470B2 (ja) 1994-06-01

Family

ID=22480348

Family Applications (2)

Application Number Title Priority Date Filing Date
JP56501391A Pending JPS57500399A (ja) 1980-04-07 1981-03-20
JP2102777A Expired - Lifetime JPH0642470B2 (ja) 1980-04-07 1990-04-18 微細構造デバイスにおけるSiエッチング残留物除去方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP56501391A Pending JPS57500399A (ja) 1980-04-07 1981-03-20

Country Status (5)

Country Link
US (1) US4310380A (ja)
EP (1) EP0049272B1 (ja)
JP (2) JPS57500399A (ja)
CA (1) CA1160761A (ja)
WO (1) WO1981002947A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08254686A (ja) * 1995-03-16 1996-10-01 Semiconductor Energy Lab Co Ltd 表示装置の作製方法
JP2004119991A (ja) * 2003-12-12 2004-04-15 Semiconductor Energy Lab Co Ltd 半導体集積回路
JP2004153290A (ja) * 2003-12-12 2004-05-27 Semiconductor Energy Lab Co Ltd 半導体集積回路の作製方法
US7050138B1 (en) 1995-03-10 2006-05-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device having a driver circuit attached to a display substrate
JP2007311827A (ja) * 2007-08-16 2007-11-29 Semiconductor Energy Lab Co Ltd 剥離方法
WO2013027653A1 (ja) * 2011-08-25 2013-02-28 大日本スクリーン製造株式会社 パターン形成方法
JP2013070012A (ja) * 2011-09-07 2013-04-18 Central Glass Co Ltd ドライエッチング方法

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4426246A (en) * 1982-07-26 1984-01-17 Bell Telephone Laboratories, Incorporated Plasma pretreatment with BCl3 to remove passivation formed by fluorine-etch
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4778562A (en) * 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) * 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
US4654112A (en) * 1984-09-26 1987-03-31 Texas Instruments Incorporated Oxide etch
US4615764A (en) * 1984-11-05 1986-10-07 Allied Corporation SF6/nitriding gas/oxidizer plasma etch system
US4582581A (en) * 1985-05-09 1986-04-15 Allied Corporation Boron trifluoride system for plasma etching of silicon dioxide
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US6087267A (en) * 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
US5063168A (en) * 1986-07-02 1991-11-05 National Semiconductor Corporation Process for making bipolar transistor with polysilicon stringer base contact
JP2669460B2 (ja) * 1986-10-29 1997-10-27 株式会社日立製作所 エツチング方法
US4787957A (en) * 1987-09-25 1988-11-29 Air Products And Chemicals, Inc. Desmear and etchback using NF3 /O2 gas mixtures
GB2214870B (en) * 1988-02-20 1991-09-11 Stc Plc Plasma etching process
US4998979A (en) * 1988-06-06 1991-03-12 Canon Kabushiki Kaisha Method for washing deposition film-forming device
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
US5304282A (en) * 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5223083A (en) * 1992-01-23 1993-06-29 Micron Technology, Inc. Process for etching a semiconductor device using an improved protective etching mask
US5302238A (en) * 1992-05-15 1994-04-12 Micron Technology, Inc. Plasma dry etch to produce atomically sharp asperities useful as cold cathodes
US5302239A (en) * 1992-05-15 1994-04-12 Micron Technology, Inc. Method of making atomically sharp tips useful in scanning probe microscopes
US5753130A (en) 1992-05-15 1998-05-19 Micron Technology, Inc. Method for forming a substantially uniform array of sharp tips
US5378648A (en) * 1992-07-15 1995-01-03 Micron Technology, Inc. Situ stringer removal during polysilicon capacitor cell plate delineation
JP2650178B2 (ja) * 1992-12-05 1997-09-03 ヤマハ株式会社 ドライエッチング方法及び装置
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
JP3512496B2 (ja) * 1994-11-25 2004-03-29 株式会社半導体エネルギー研究所 Soi型半導体集積回路の作製方法
JP3370806B2 (ja) 1994-11-25 2003-01-27 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
US6969635B2 (en) * 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US6849471B2 (en) 2003-03-28 2005-02-01 Reflectivity, Inc. Barrier layers for microelectromechanical systems
DE19713090B4 (de) * 1996-03-28 2004-06-17 Kabushiki Kaisha Toshiba, Kawasaki Verfahren und Apparatur zum Ätzen von Silicium-Materialien
WO1998032163A1 (en) * 1997-01-22 1998-07-23 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
US6165375A (en) 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
WO1999034428A1 (en) * 1997-12-31 1999-07-08 Alliedsignal Inc. Method of etching and cleaning using interhalogen compounds
US6699794B1 (en) * 1998-03-09 2004-03-02 Siemens Aktiengesellschaft Self aligned buried plate
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6107206A (en) * 1998-09-14 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for etching shallow trenches in a semiconductor body
DE19843984B4 (de) * 1998-09-25 2013-10-24 Robert Bosch Gmbh Verfahren zur Herstellung von Strahlungssensoren
DE19847455A1 (de) * 1998-10-15 2000-04-27 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen
ATE410395T1 (de) * 1999-03-04 2008-10-15 Surface Technology Systems Plc Chlortrifluorid- gasgeneratorsystem
AU3522100A (en) 1999-03-12 2000-09-28 California Institute Of Technology Ic-compatible parylene mems technology and its application in integrated sensors
US6451642B1 (en) * 1999-07-14 2002-09-17 Texas Instruments Incorporated Method to implant NMOS polycrystalline silicon in embedded FLASH memory applications
US6960305B2 (en) * 1999-10-26 2005-11-01 Reflectivity, Inc Methods for forming and releasing microelectromechanical structures
US6290864B1 (en) 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US6942811B2 (en) * 1999-10-26 2005-09-13 Reflectivity, Inc Method for achieving improved selectivity in an etching process
US6949202B1 (en) 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US7041224B2 (en) * 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6221784B1 (en) * 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7019376B2 (en) * 2000-08-11 2006-03-28 Reflectivity, Inc Micromirror array device with a small pitch size
US6581612B1 (en) * 2001-04-17 2003-06-24 Applied Materials Inc. Chamber cleaning with fluorides of iodine
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7189332B2 (en) 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US7027200B2 (en) * 2002-03-22 2006-04-11 Reflectivity, Inc Etching method used in fabrications of microstructures
US6965468B2 (en) * 2003-07-03 2005-11-15 Reflectivity, Inc Micromirror array having reduced gap between adjacent micromirrors of the micromirror array
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
JP4694201B2 (ja) 2002-09-20 2011-06-08 インテグレイテッド ディーエヌエイ テクノロジーズ インコーポレイテッド アントラキノン消光色素、それらの製造方法及び使用
US6913942B2 (en) 2003-03-28 2005-07-05 Reflectvity, Inc Sacrificial layers for use in fabrications of microelectromechanical devices
US6980347B2 (en) * 2003-07-03 2005-12-27 Reflectivity, Inc Micromirror having reduced space between hinge and mirror plate of the micromirror
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7091081B2 (en) * 2004-05-21 2006-08-15 International Business Machines Corporation Method for patterning a semiconductor region
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
DE102006039915A1 (de) 2006-08-25 2008-03-20 Deutsches Zentrum für Luft- und Raumfahrt e.V. Halogenhaltige Schichten
WO2008039845A2 (en) * 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP4596287B2 (ja) * 2008-09-19 2010-12-08 カシオ計算機株式会社 シリコンを含む膜のドライエッチング方法
US20180190792A1 (en) * 2017-01-04 2018-07-05 Globalfoundries Inc. Method of forming semiconductor structure and resulting structure
US20220051898A1 (en) * 2018-12-21 2022-02-17 Showa Denko K.K. Etching method using halogen fluoride and method for producing semiconductor
CN111799217B (zh) * 2020-07-17 2024-02-02 上海华虹宏力半导体制造有限公司 存储器字线形貌的控制方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52131470A (en) * 1976-04-28 1977-11-04 Hitachi Ltd Manufacture of semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3400066A (en) * 1965-11-15 1968-09-03 Ibm Sputtering processes for depositing thin films of controlled thickness
US3669774A (en) * 1969-11-20 1972-06-13 Rca Corp Low temperature silicon etch
JPS54109387A (en) * 1978-02-15 1979-08-27 Hitachi Ltd Etching method
US4226665A (en) * 1978-07-31 1980-10-07 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4208241A (en) * 1978-07-31 1980-06-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4211601A (en) * 1978-07-31 1980-07-08 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US4214946A (en) * 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52131470A (en) * 1976-04-28 1977-11-04 Hitachi Ltd Manufacture of semiconductor device

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7050138B1 (en) 1995-03-10 2006-05-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device having a driver circuit attached to a display substrate
US7446843B2 (en) 1995-03-10 2008-11-04 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating the same
US8013972B2 (en) 1995-03-10 2011-09-06 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating the same
US8547516B2 (en) 1995-03-10 2013-10-01 Semiconductor Energy Laboratory Co., Ltd. Display device and method of fabricating the same
JPH08254686A (ja) * 1995-03-16 1996-10-01 Semiconductor Energy Lab Co Ltd 表示装置の作製方法
JP2004119991A (ja) * 2003-12-12 2004-04-15 Semiconductor Energy Lab Co Ltd 半導体集積回路
JP2004153290A (ja) * 2003-12-12 2004-05-27 Semiconductor Energy Lab Co Ltd 半導体集積回路の作製方法
JP2007311827A (ja) * 2007-08-16 2007-11-29 Semiconductor Energy Lab Co Ltd 剥離方法
WO2013027653A1 (ja) * 2011-08-25 2013-02-28 大日本スクリーン製造株式会社 パターン形成方法
JPWO2013027653A1 (ja) * 2011-08-25 2015-03-19 大日本スクリーン製造株式会社 パターン形成方法
US9082725B2 (en) 2011-08-25 2015-07-14 SCREEN Holdings Co., Ltd. Pattern forming method
JP2013070012A (ja) * 2011-09-07 2013-04-18 Central Glass Co Ltd ドライエッチング方法

Also Published As

Publication number Publication date
EP0049272B1 (en) 1986-07-02
EP0049272A1 (en) 1982-04-14
JPH0642470B2 (ja) 1994-06-01
US4310380A (en) 1982-01-12
JPS57500399A (ja) 1982-03-04
WO1981002947A1 (en) 1981-10-15
CA1160761A (en) 1984-01-17
EP0049272A4 (en) 1984-06-13

Similar Documents

Publication Publication Date Title
JPH03114226A (ja) 微細構造デバイスにおけるSiエッチング残留物除去方法
US10186428B2 (en) Removal methods for high aspect ratio structures
US4264409A (en) Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US9275834B1 (en) Selective titanium nitride etch
US4214946A (en) Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US5316616A (en) Dry etching with hydrogen bromide or bromine
US4211601A (en) Device fabrication by plasma etching
US4383885A (en) Reactive sputter etching of polysilicon utilizing a chlorine etch gas
JPH0336300B2 (ja)
EP0328350B1 (en) Dry etching with hydrogen bromide or bromine
US4479850A (en) Method for etching integrated semiconductor circuits containing double layers consisting of polysilicon and metal silicide
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
KR102537097B1 (ko) 실리콘 질화물의 유사 원자층 에칭 방법
JP3535276B2 (ja) エッチング方法
CA1148895A (en) Reactive sputter etching of silicon
GB2098931A (en) Method of plasma etching microminiature devices
JPH031825B2 (ja)
JP3732079B2 (ja) 試料の表面加工方法
JPH1140542A (ja) ポリシリコン層蝕刻用ガス混合物及びこれを用いたポリシリコン電極層の蝕刻方法
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
JP3038984B2 (ja) ドライエッチング方法
Leahy Superfine IC geometries: Gas-plasma etching may supplant chemical etching in manufacturing high-performance integrated circuits
JPH0218577B2 (ja)
WO2020051063A2 (en) Surface modification process
JPH05144784A (ja) ドライエツチング方法