JP7348062B2 - モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション - Google Patents

モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション Download PDF

Info

Publication number
JP7348062B2
JP7348062B2 JP2019534698A JP2019534698A JP7348062B2 JP 7348062 B2 JP7348062 B2 JP 7348062B2 JP 2019534698 A JP2019534698 A JP 2019534698A JP 2019534698 A JP2019534698 A JP 2019534698A JP 7348062 B2 JP7348062 B2 JP 7348062B2
Authority
JP
Japan
Prior art keywords
vacuum
elements
subset
wafer
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019534698A
Other languages
English (en)
Other versions
JP2020517089A (ja
Inventor
スリーニヴァサン,シドルガタ,ヴィ.
アジャイ,パラス
サヤル,アシーム
マクダーモット,マーク
シンハル,シュラワン
アベド,オヴァディア
ダン,ローレンス
ゴヤル,ヴィプル
カリナン,マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2020517089A publication Critical patent/JP2020517089A/ja
Priority to JP2023145473A priority Critical patent/JP2023169239A/ja
Application granted granted Critical
Publication of JP7348062B2 publication Critical patent/JP7348062B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0005Apparatus specially adapted for the manufacture or treatment of microstructural devices or systems, or methods for manufacturing the same
    • B81C99/002Apparatus for assembling MEMS, e.g. micromanipulators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/10Buffer insertion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68309Auxiliary support including alignment aids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Micromachines (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

(関連出願の相互参照)
本出願は、参照により全てが本明細書に援用される、2016年12月23日出願の「マイクロスケール材料の高並列ナノ精度ピックアンドプレース方法」と題する米国仮特許出願第62/438,952号の優先権を主張する。
(技術分野)
本発明は、一般には、コンポーネント(例えば、電子装置、光及びエネルギー記憶装置)のヘテロジニアスインテグレーション(異種集積)に関し、より詳細には、モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーションに関する。
最先端の民生及び産業用途は、集積されるが異種の機能素子を多様に備えた装置のニーズを駆り立てている。特定用途によっては、これらの素子は、電子装置、光学、フォトニクス(光工学)、フルイディクス(流体工学)、ナノ機械要素、また生物システムオンチップですらあってよい。これらは、その後標準半導体実装技術を用いて実装され、更に大きな装置に集積されるので、シリコン等の半導体基板上に理想的に集積される。
半導体作製は、現在のところ、ヘテロジニアスインテグレーションには適さない。単一の半導体基板上で実に様々で互換性のない作製ステップを処理することは実用的ではない。ピックアンドプレースは、短時間スケールのヘテロジニアスインテグレーションの自然な解である。これをマイクロメートルサイズのコンポーネントに対して先に論証してきた技術は多くあるが、いずれの技術も、高並列ピックアンドプレース、任意の構成要素分布、及びナノメートル精度配置を組み合わせた形状を有していない。
(claim1)本発明の一の実施形態では、異種コンポーネントを組み立てる方法は、ピックアンドプレースを用いてソースウェハから選択的に選び取られた分布を有する複数素子のサブセットを組み立てる工程を備える。当該方法は、前記選択的に選び取られた複数素子のサブセットを製品ウェハ上に配置する工程と、を更に備える。
(claim25)本発明の他の実施形態では、一辺サブ10μmから一辺1ミリメートル以上までサイズが変化し、特定用途向け集積回路(ASIC)システムオンチップ(SoC)の基層部分を設計するために用いられることを特徴とする材料である。
(claim28)本発明の他の実施形態では、特定用途向け集積回路(ASIC)システムオンチップ(SoC)は、ソースウェハから選択的に選び取られ且つ製品ウェハ上に組み立てられる材料を用いて、設計及び製造される。
] (claim31)本発明の更なる実施形態では、材料構成されたASIC Socを設計するための電子設計自動化(EDA)方法論は、post-Mask ECO統合、及び/又はpre-CTS、及び/又はCTS、及び/又はルート、及び/又はサインオフ解析フローを実行するために用いられる既存の商用EDA解と統合された社内開発ソフトウェアの組み合わせを備える。
以下に続く本発明の詳細な説明をより良く理解するために、本発明の一以上の実施形態における特徴及び技術的利点について概説した。本発明の特許請求の範囲の対象事項を構成する本発明の更なる特徴及び利点について以下に記載する。
以下の図面と併せて以下の詳細な説明を考慮すると、本発明のより良い理解が得られる。
本発明の実施形態に係る、組立処理を表示する図である。 本発明の実施形態に係る、三つの素子を備えたシリコンオンインシュレータ(SOI)ウェハを示す図である。 本発明の実施形態に係る、エッチング及びカプセル化を実行する方法を示すフローチャートである。 本発明の実施形態に係る、図3に示したステップを用いてエッチング及びカプセル化を実行する工程を示す断面図である(図4Aから図4E)。 本発明の実施形態に係る、ウェハの下面からエッチングにより犠牲層の広がりを減らす処理を示す図である。 本発明の実施形態に係る、ウェハの上面からのエッチングにより犠牲層の広がりを減らす処理を示す図である。 本発明の実施形態に係る、犠牲層をドープしながらウェハの上面からのエッチングにより犠牲層の広がりを減らす処理を示す図である。 本発明の実施形態に係る、その後のピックアンドプレースを容易にするためにバルクエッチ処理の概念を用いてウェハダイシングにウェハバックグラインドを利用する方法を示すフローチャートである。 本発明の実施形態に係る、図8に示したステップを用いてウェハダイシングにウェハバックグラインドを利用する工程を示す断面図である(図9Aから図9C)。 本発明の実施形態に係る、一の素子をピックアップする処理を示す図である。 本発明の実施形態に係る、一の素子をピックアップする他の処理を示す図である。 本発明の実施形態に係る、エッチング処理を回避しながら一の素子をピックアップする他の処理を示す図である。 本発明の実施形態に係る、図10のウェハを用いて一の素子をピックアップする方法を示すフローチャートである。 本発明の実施形態に係る、図13に示したステップを用いて一の素子をピックアップする工程を示す断面図である(図14Aから図14E)。 本発明の実施形態に係る、図8及び図9Aから図9Cのバックグラウンドスーパーストレートを用いて一の素子をピックアップする方法を示すフローチャートである。 本発明の実施形態に係る、図15に示したステップを用いて一の素子をピックアップする工程を示す断面図である(図16Aから図16E)。 本発明の実施形態に係る、概念FP-2で議論された選択的ピックアンドプレーススーパーストレートの多層設計を示す図である。 本発明の実施形態に係る、概念FP-2におけるカスタムピックアップ層1を備えた選択的ピックアンドプレーススーパーストレートを示す図である。 本発明の実施形態に係る、概念FP-3で議論されたバルクピックアンドプレースの多層設計を示す図である。 本発明の実施形態に係る、複数の素子を整列、配置及び結合する方法を示すフローチャートである。 本発明の実施形態に係る、図20に示したステップを用いて複数の素子を整列、配置及び結合する工程を示す断面図である(図21Aから図21C)。 実施形態に係る、最適素子利用方策を示す図である。 本発明の実施形態に係る、可変高さ問題を解決するダミー素子を示す図である。 本発明の実施形態に係る、材料構成可能SoCの可能な構成の一つを示す図である。 本発明の実施形態に係る、一般ロジック材料構成を示す図である。 本発明の実施形態に係る、標準セルベース論理回路材料構成を示す図である。 本発明の実施形態に係る、材料構成可能ASICの論理回路設計を実施するEDA方法論を示す図である。 本発明の実施形態に係る、グリーディマッピング手法に基づく材料生成を実施するAlgorithm1を示す図である。 本発明の実施形態に係る、最適グラフマッチング技術及びk-Meansクラスタリングを用いて材料設計生成を実施するAlgorithm2を示す図である。 本発明の実施形態に係る、グリーディマッピング手法に基づく材料配置及び選択を実施するAlgorithm3を示す図である。 本発明の実施形態に係る、最適グラフマッチングに基づく材料選択及び配置を実施するAlgorithm4を示す図である。 本発明の実施形態に係る、ポストマスククロックツリー合成アルゴリズムを提示するAlgorithm5を示す図である。 本発明の実施形態に係る、ポストマスクポストCTS、ポストルートバッファ挿入を実施するAlgorithm6を示す図である。 本発明の実施形態に係る、可能なメモリ材料構成の一つを示す図である。 本発明の実施形態に係る、IO材料の可能な構成の一つを示す図である。
背景技術セクションで述べたように、最先端の民生及び産業用途は、集積されるが異種の機能素子を多様に備えた装置の必要性を駆り立てている。特定用途によっては、これらの素子は、電子装置、光学、フォトニクス(光工学)、フルイディクス(流体工学)、ナノ機械要素、また生物システムオンチップですらあってよい。半導体作製は、現在のところ、ヘテロジニアスインテグレーションには適さない。単一の半導体基板上で実に様々で互換性のない作製ステップを処理することは実用的ではない。ピックアンドプレースは、短時間スケールのヘテロジニアスインテグレーションの自然な解である。これをマイクロメートルサイズのコンポーネントに対して先に論証してきた技術は多くあるが、いずれの技術も、高並列ピックアンドプレース、任意の構成要素分布、及びナノメートル精度配置を組み合わせた形状を有していない。
本発明は、一般には、多くの民生、医療及び科学的用途にとって望ましい、電子装置、光及びエネルギー記憶装置等の変動コンポーネントのヘテロジニアスインテグレーションに関する。ピックアンドプレースに基づく方法は、理想的には、個々のコンポーネントを別々に製造し、その後、製品基板上に組み立てることができるような用途に適している。しかしながら、現在のピックアンドプレース技術では、ナノスケール精度で組み立てることはできない。本発明は、モアレベース計測学及び真空ベースピックアンドプレースを用いて、組み立てにおいてサブ100nm、及び実施例によってはサブ25nm又はサブ10nmアライメントを達成可能である新規の技術を提示する。
本発明は、数十マイクロメートルから数ミリメートルほど小さい複数の素子を組み立てる能力、及び/又は高並列組み立て(ステップ当たり10から10個の素子)を実行する能力、及び/又は100nmよりも著しく小さい配置精度で、10nm(3σアライメント誤差)又は5nm(3σアライメント誤差)ほどに接近して組み立てる能力を備えた、組立処理一式を提供する。
本発明の一の実施形態では、本発明は、並列ナノメートル精度決定論的アセンブリを提供する。一の実施形態では、シリコンオンインシュレータシリコン(SOI)ウェハを含むセミコンダクタオンインシュレータウェハ上に作製された異種の機能素子はピックアップされ、次にナノメートル規模精度で対象基板上に配置(及び確実に付着)される。ここで機能素子(又は単に素子)とは、ピックアンドプレースに用いられる最小物理単位を意味する。このような素子は、サブ素子の集合を含む可能性がかなり高い。例えば、1mm×1mmフォトニック素子は、その内部に、複数のフォトニックサブ素子と、ある一定の特化電子装置を含みうる。一の実施形態では、半導体は、Si、Ge、SiGe、GaAs、InP等からなる基板を含む。このようなウェハ上での装置の作製は確立しており、埋込酸化(BOx)層は、特定の場所から複数の素子を選択的に転写する方法を可能とする。別々のウェハ上に夫々作製された、トランジスタ、光学装置、及びMEMS等の多種類の機能素子は集積されてよい。
一の実施形態では、一般に利用可能な組立順序は以下の通りである。
1.エッチング及びカプセル化
2.バルクエッチ処理(その後のピックアンドプレースを容易にするため)
3.素子ピックアップ
4.製品基板へ(複数)素子を整列及び仮着
5.結合
6.製品ウェハが完全に組み立てられるまで3から5を繰り返す
以下にこれらについてより詳細に説明する。
ステップの簡易順序を図1に示す。図1は、本発明の実施形態に係る、組立処理を表示する図である。全組立処理は、並列ナノメートル精度決定論的アセンブリを達成するために、モアレベーススキームのサブ5nmアライメント能力を活用する。全組立処理に関する更なる議論は、参照により全てが本明細書に援用される、Euclid E. Moon, “Interferometric-Spatial-Phase Imaging for sub-Nanometer Three-Dimensional Positioning,” Massachusetts Institute of Technology, 2004に記載されている。
ソースウェアは、ピックアンドプレースに備える前に、幾つかの前処理を受ける必要がある。例えば、化学的ダメージから感受性素子を保護するために、カプセル化層が必要である。加えて、ピックアンドプレースの前に、埋込犠牲層にアクセスするために孔がエッチングされる必要がある可能性がある。
ここで図2を参照すると、図2は、本発明の実施形態に係る、三つの素子202を備えたシリコンオンインシュレータ(SOI)ウェハ201を示す図である。SOIウェハ201は、層状シリコン203、絶縁体(犠牲層)204、及びシリコン205基板からなる。一の実施形態では、一の素子202は、最も一般的な形態である「材料」であり、トランジスタ層206、配線(相互接続)層207、誘電体層208からなる。更に、ここで用いられる素子202は、SOIウェハ201のシリコン層203を含む。当該素子は、それ自体に任意の機能性を有していてもいなくてもよいが、他の素子202や、場合により更なる配線層207及び誘電体層208と組み立てられる際には、動作ASICを作製するために用いられてよい。加えて、マスクコストが高いフロントエンド高分解能素子層は、一の素子202内に存在しうる。これは、種々のASIC装置の作製に対して(高分解能素子層の)高価なマスクコストを償却するためである。
一の実施形態では、一の素子202は、一辺~10μmから一辺約~100μm以上までサイズが変化できる。他の実施形態では、一の素子202は、一辺~サブ1μmから一辺約~100μm以上までサイズが変化できる。全構成要素202のサイズは、一のASIC設計に渡って同じでもそうでなくてもよい。
上述した組立技術は、ASIC作製の具体的要求に合うように変更される必要があってよい。変更された処理及び機械的設計概念は、以下の一般指針に従う。即ち、(1)組立精度(サブ100nm3σ)が最も重要であり、(2)組立時間が重要であり(しかしながら、組立精度より重要ではない)、(3)粒子を生じる処理は回避される必要がある。
素子ウェハから始まり製品ウェハで終わる全組立処理は、以下のステップ順序に分割できる。即ち、(1)素子ウェハの前処理(素子エッチング及びカプセル化)、(2)バルクエッチ処理(その後のピックアンドプレースを容易にするため)、(3)素子ピックアップ、(4)製品基板へ素子を整列、(5)素子配置及び結合、(6)製品ウェハが完全に組み立てられるまで3から5を繰り返す。
一の実施形態では、複数素子がピックアンドプレースに備える前に、二の前処理ステップが実行される必要があってよい。即ち、(1)製造工場から得られた素子ウェハは、連続するトランジスタ層、金属層、及び誘電体層を有しており、そこでは素子境界及び埋込酸化(BOx)アクセスホールがエッチングされる必要があり、(2)露出素子層は、エッチング液耐性とするためにカプセル化される必要がある。
ここで図3を参照すると、図3は、本発明の実施形態に係る、エッチング及びカプセル化を実行する方法300フローチャートである。図4Aから図4Eは、本発明の実施形態に係る、図3に示したステップを用いてエッチング及びカプセル化を実行する工程を示す断面図である。
ここで図4Aから図4Eと併せて図3を参照すると、ステップ301において、図4A及び図4Bに示すように、一の素子202の誘電体層208のリソグラフィ及びエッチングを実行する。一の実施形態では、図4A及び図4Bに示すように、マスキング材料401は、一の素子202の一定部分のエッチングを防ぐために用いる。
ステップ302において、図4Cに示すように、SOIウェハの複数素子202及びシリコン203をカプセル化層402で被覆する。
ステップ303において、図4D及び図4Eに示すように、アクセスホール(例えば、BOxアクセスホール)を形成するために、図4Cの構造のリソグラフィ及びエッチングを実行する。一の実施形態では、マスキング材料403は、複数素子202のエッチングを防ぐために用いる。図4Eに示すように、アクセスホール404(Boxアクセスホール)を形成する。
方法300に関わる更なる議論を以下に示す。
図4Aから図4Eで処理されたウェハは、埋込犠牲層に対して素子境界405(図4E参照)とアクセスホール404とがエッチングされることに留意されたい。素子境界のエッチングは、個々の素子202を分けるために必要であるが、アクセスホールのエッチングは、次の処理に応じて必要であってもそうでなくてもよい。
一般に、カプセル化層402は、エッチング液(具体的にはHF)に対して耐性を示す必要があり、粒子を生じず、半導体グレードである必要がある。加えて、カプセル化層402は、カプセル化素子に対する機械的スクラッチングダメージを吸収及び制限するのに役立ってもよい。使用の可能性がある二の材料は、酸化アルミニウム(Al)及び無定形炭素である。Alは、HF耐性を示すことで知られており、原子層堆積(ALD)及び化学気相蒸着(CVD)等の一般的な真空蒸着処理を用いて堆積できる。加えて、CMOSではhigh-K(高誘電率)キャップ層として広く用いられている。無定形炭素は、実質的にHF耐性を示し、無定形炭素に対して半導体グレードの化学気相蒸着(CVD)処理が知られている。無定形炭素は、マルチプルパターニングにおいてハードマスクとして主に用いられる。ハードマスク材料は、中でもフッ素ラジカルを含むプラズマエッチング化学物質に対して耐性を示す必要がある。気相HFエッチングから剥離するためのエッチング停止材料に関する議論は、参照により全てが本明細書に援用される、Bakke et al., “Etch Stop Materials for Release by Vapor HF Etching,” 16 MicroMechanics Europe Workshop, Goteborg, Sweden, 2005に記載されている。
一の実施形態では、アクセスホールの幅は、境界トレンチよりも僅かに小さい。これは、カプセル化層402が、アクセスホールのエッチング中に側壁でエッチング除去されることを保証するためである。
化学エッチングは、かなり遅い処理である。例えば、SOIウェハでの犠牲酸化物の気相HFベースエッチングは、室温で約60nm/min、高温で数マイクロメートル/minの速度で実行される。この速度では、数マイクとメートルの下層酸化物を介したエッチングは何時間もかかってしまう。全組立スループット(処理量)の改善を支援するために、バルクエッチを実行して、下層犠牲層を部分的に剥ぐ。個々のウェハをエッチングするには長時間かかるかもしれないが、単一バッチで多数のウェハを処理することにより、全スループットを高いままにできる。
ここで、種々の可能なバルクエッチ順序について記載する。
一の実施形態では、このような概念の一つは、ウェハの下層からエッチングにより犠牲層の広がりを減らすことである。
図5は、本発明の実施形態に係る、ウェハの下面からエッチングにより犠牲層の広がりを減らす処理を示す図である。犠牲層204の下にボロン層501及び窒素層502が埋め込まれたソースウェアから始める。ボロンは、その後のMACE処理503のエッチング停止として作用する素は、ボロンが素子層に拡散するのを防ぐバリアとして作用する
ここで、金属支援化学エッチング(MACE)503を実行して、ウェハの下面からバルクシリコンを介してエッチングできる。
埋込層及び犠牲層は、DRIE504等の異方性エッチング技術を用いてエッチングできる。
エッチングされた孔の幅は、素子の幅よりも小さい。これにより、複数素子は、ピックアンドプレース中に選択的に除去しやすい酸化物の細メッシュを用いてバルクシリコンに付着されたままになる。
或いは、一の実施形態では、素子当たりの一の大きな孔とは対照的に、複数の小さいスルーホールがエッチングされる。複数の小さいスルーホールは、存在する場合には、より大きな機械的安定性を付与するのに役立つ。
一の実施形態では、第2の概念は、ウェハの下面を介した穿孔の代わりに、上面からのエッチングにより、犠牲層の広がりを減らすことである。数百マイクロメートルのシリコンのエッチングは、バルクで実行される場合にも、極めて遅い処理でありうる。これを解決するために、個々の素子は、埋込犠牲層に対してアクセスホールを有するように変更される。これらは、ソースウェハの上面から犠牲層にアクセスを与えて、エッチング距離を効率的に縮める。これらの孔の配置及び幾何学は、機能素子のサイズ、必要なエッチ速度、及びスループット要求に応じて変更できる。アクセスホールは、更に、エッチング後の犠牲層に複数の柱状配列を提供し、これは、一の素子により良好な機械的支持を提供する。
図6は、本発明の実施形態に係る、ウェハの上面からのエッチングにより犠牲層の広がりを減らす処理を示す図である。一の実施形態では、素子境界405とアクセスホール404とが既にエッチングされたカプセル化ソースウェハから始める。図6に示したアクセスホール配列は例示的である。他の配列を用いることもできる。アクセスホール404は最初から最後までエッチングされるが、素子境界405はエッチングされないことに留意されたい。これは、境界での犠牲層のエッチングを防ぐためである。境界酸化物は、ピックアンドプレース中に、エッチング液が近接素子202に漏れることを防ぐシール(封)として用いられる。
ここで、犠牲層204は、気相HF(vHF)等のエッチング液を用いてエッチングできる。vHFは、MEMS用途におけるBOxエッチングに通常用いられるエッチング液である。これは、反応物質(HF)と生成物とは気相であるので好ましく、静止摩擦力等の多くの課題を、エッチング液を用いて解決する。エッチングは、エッチング後の素子の下に柱状構造が残るように時間を定められる。
最後に、素子境界405は、標準リソグラフィ及びエッチング技術を用いてエッチングできる。
他の実施形態では、(第2の概念と類似する)第3の概念は、図7に示すように、犠牲層をドープしながら下層酸化物をウェハの上面からのエッチングにより犠牲層の広がりを減らすことである。図7は、本発明の実施形態に係る、犠牲層をドープしながらウェハの上面からのエッチングにより犠牲層の広がりを減らす処理を示す図である。
第3の概念は、酸化物エッチングが上面から実行され、アクセスホール404を用いてエッチング処理を速める点で、第2の概念と類似している。第2の概念と第3の概念との差異は、下層酸化物がドープされる点であり、ドーピングプロファイルは、ドーパント濃度が犠牲層204の上面で最大となり、底面で最小となるようにされる。このようなドーピングプロファイルは、犠牲層204の深さに渡るエッチ速度の対応する変化につながり、続いて、ピラミッド状柱(テザー)701の形成を引き起こす。後に議論されるように、これらのピラミッド状テザー701は、ピックアンドプレースステップを容易にできる。
ウェハバックグラインドは、ウェハパッキング及び3Dインテグレーション(集積)において広く用いられた技術である。一の実施形態では、ウェハバックグラインドは、各種のバルクエッチ処理を補完するために用いることができる。
例えば、ウェハの下面をエッチングすることにより犠牲層の広がりを減らす上述した第1の概念では、ウェハバックグラインド処理を用いて、必要なMACEの深さを減らすことができる。
或いは、上述した第2及び第3の概念においてHFエッチングを速める方法として、犠牲層までウェハを薄くできる(図8及び図9Aから図9C参照)。ここで酸化物の領域がより広くさらされるので、その後のHFエッチングのエッチング時間は、第2及び第3の概念よりも遥かに低いであろう。これは、理想的には、(以下に議論する)概念FP-3のピックアンドプレース処理の代替として役立つであろう。
ここで図8を参照すると、図8は、本発明の実施形態に係る、その後のピックアンドプレースを容易にするためにバルクエッチ処理の概念を用いてウェハダイシングにウェハバックグラインドを利用する方法800を示すフローチャートである。図9Aから図9Cは、本発明の実施形態に係る、図8に示したステップを用いてウェハダイシングにウェハバックグラインドを利用する工程を示す断面図である。
ここで図9Aから図9Cと併せて図8を参照すると、ステップ801において、図9Aに示すように、一の素子202を反転させ、(市販の)レーザ脱離接着剤902を介してガラスキャリアウェハ901に付着させる。
ステップ802において、図9Bに示すように、素子基板205のバックグラインドを実行する。
ステップ803において、HF等の酸を用いて犠牲層204をエッチングする。
ここで素子ピックアップに関する各種の概念を議論することは適当と認められる。
一の概念(概念「FP-1」と称される)では、基本原理は、真空スーパーストレートを用いて個々の素子を保持しながら、犠牲層をエッチング除去することにより、個々の素子を選択的に剥離することである。この概念の優位点は、ピックアップ処理中に含まれる機械的かく乱が最小であるということである。
図10は、本発明の実施形態に係る、一の素子をピックアップする処理を示す図である。図5のウェハはスルーホール405を既に有しているので、ウェハチャック1001を用いてエッチング液が誘導される。スーパーストレート1004を介して真空に引かれる。真空チャネル1002とエッチング液チャネル1003とは、MEMSベース弁を用いて制御される。
図11は、本発明の実施形態に係る、一の素子をピックアップする他の処理を示す図である。この場合のスーパーストレート1004は、真空孔1101及びエッチング液孔1102(これらもMEMS弁を用いて制御されうる)を有する必要がある。先に述べたように、一の素子の周辺の犠牲層は、隣接素子202に対するエッチング液汚染に対するシール(封)として作用する。
第2の概念(ここでは概念「FP-2」と称する)では、ここでの基本原理は、真空スーパーストレートを用いてソースウェハから複数素子を機械的に引張り取ることである。
この概念は、理想的には、図7のウェハに適用される。材料強度の計算は、100μm素子及び先端径が~300nmのピラミッド状テザーを用いると、真空吸引はこれらのテザーを破壊するのに十分以上であるべきことを示している。よって、時間のかかるエッチング処理を回避できる。図12は、本発明の実施形態に係る、エッチング処理を回避しながら一の素子をピックアップする他の処理を示す図である。
第3の概念(ここでは概念「FP-3」と称する)では、基本原理は、FP-1と同様に、真空を保持しつつ犠牲層をエッチング除去することにより、複数素子を剥離することである。ここでの差異は、図13及び図14Aから図14Eと併せて議論されるように、全素子が一度に剥離され、紫外線脱離接着剤を有する中間ガラス基板に転写される点である。これは、その後の選択的剥離処理を更に速めるために実行される。選択的剥離は、一の素子の下面をUV光に露光させることにより実行される。選択的露光は、DMD(デジタルマイクロミラー装置)等の光変調装置を用いて達成できる。DMDに関する更なる議論は、参照により全てが本明細書に援用される、Texas Instruments, “DMD 101: Introduction to Digital Micromirror Device (DMD),” 2013に記載される。
図13を参照すると、図13は、本発明の実施形態に係る、図10のウェハを用いて一の素子をピックアップする方法1300を示すフローチャートである。図14Aから図14Eは、本発明の実施形態に係る、図13に示したステップを用いて一の素子をピックアップする工程を示す断面図である。
ここで図14Aから図14Eと併せて図13を参照すると、ステップ1301において、図14A及び図14Bに示すように、バルクピックアンドプレーススーパーストレート1004’を複数素子202に付着する。
ステップ1302において、図14Cに示すように、シリコン基板205とピラミッド状柱(テザ―)701をエッチングする。
ステップ1303において、図14Dに示すように、スピンコートUV脱離接着剤(例えばグルー)1402を介して、SOIウェハ201のシリコン203を中間ガラス基板1401に付着する。
ステップ1304において、素子202を選択的に剥離するために一の素子202の下面を光変調装置(例えばDMD)1403からのUV光に露光させる。選択的ピックアンドプレーススーパーストレート1004’’を用いて、一の素子202を選び取る。
第4の概念(ここでは概念「FP-4」と称する)では、当該概念は、図8及び図9Aから図9Cのバックグラウンドスーパーストレートに適用される。基本原理は、FP-3と同様に、図15及び図16Aから図16Eと併せて議論されるように、UV脱離接着剤を有する中間ガラス基板に複数素子を転写することである。
図15を参照すると、図15は、本発明の実施形態に係る、図8及び図9Aから図9Cのバックグラウンドスーパーストレートを用いて一の素子をピックアップする方法1500を示すフローチャートである。図16Aから図16Eは、本発明の実施形態に係る、図15に示したステップを用いて一の素子をピックアップする工程を示す断面図である。
ここで図16Aから図16Eと併せて図15を参照すると、ステップ1501において、図16A及び図16Bに示すように、キャリアウェハを反転させ、スピンコートUV脱離接着剤(例えばグルー)1602を介して中間ガラス基板1601に付着する。
ステップ1502において、図16C及び図16Dに示すように、レーザ放射1603を用いて接着剤902を除去することにより、キャリアウェハを脱離する。
ステップ1503において、図16Eに示すように、選択的ピックアンドプレーススーパーストレート1004’’を複数素子202に付着する。
ステップ1504において、一の素子202を選択的に剥離するために一の素子202の下面を光変調装置(例えばDMD)1604からのUV光に露光させる。選択的ピックアンドプレーススーパーストレート1004’’を用いて、一の素子202を選び取る。
真空ベースピックアンドプレーススーパーストレートは、全組立処理の重要部分である。これらは、複数素子がソースウェハから製品基板へ転写される際に組立精度が維持されることを保証する。
スーパーストレートは、以下の要因を念頭に設計されてよい。
1.ピックアップ力及び機能素子の破壊強度
2.寄生運動
3.トポグラフィ制御
4.表面摩耗及び平坦性悪化
5.空気流量及び吸引設計
6.熱管理-スーパーストレートは、最適エッチング液温度及び気温を維持するように温度制御されうる。更に、温度コントローラは、レジストレーション誤差を修正するためにスーパーストレートに僅かな変形を引き起こすように用いられうる。当該温度制御は、ペルチェクーラ及びIRソースのDMDベース変調を含む種々の方法を用いて実施されうる。熱管理に関する更なる議論は、参照により全てが本明細書に援用される、Moon et al., “Thermally Controlled Alignment for Wafer-Scale Lithography,” Journal of Micro/Nanolithography, MEMS, and MOEMS 12(3), 031109, August 28, 2013に記載されている。
7.歪み制御
8.スーパーストレート-素子接着特性
9.ピックアップ位置のプログラマビリティ-選択的ピックアンドプレーススーパーストレートは、MEMS弁の埋込層を有しうる。流体流制御用MEMS弁の大型アレイについては、既に研究論文に示されている。或いは、カスタムピックアップ層は、各特定ピックアップ構成に用いられうる。ピックアップ位置のプログラマビリティに関する更なる議論は、参照により全てが本明細書に援用される、Vandelli et al., “Development of a MEMS Microvalve Array for Fluid Flow Control," Journal of Microelectromechanical Systems 7.4, 1998, pp. 395-403に記載されている。
10.作製-スーパーストレートは、別々に作成された複数の層を結合させることにより作製される。このような技術は、複雑なミクロンスケールの形状を備えたウェハチャックを作製するために以前から用いられている。
図17から図19は、ピックアンドプレーススーパーストレートの種々の可能な設計を示している。図17は、本発明の実施形態に係る、概念FP-2で議論された選択的ピックアンドプレーススーパーストレートの多層設計を示す図である。図18は、本発明の実施形態に係る、概念FP-2におけるカスタムピックアップ層1を備えた選択的ピックアンドプレーススーパーストレートを示す図である。図19は、本発明の実施形態に係る、概念FP-3で議論されたバルクピックアンドプレースの多層設計を示す図である。
一の実施形態では、スーパーストレートの歪み制御に、水系温度制御技術が用いられる。
選択的ピックアンドプレーススーパーストレートは、MEMS弁の埋込層を有しうる。流体流制御用MEMS弁の大型アレイについては、以前から研究報告されている。或いは、図18に示すように、カスタムピックアップ層は、各特定ピックアップ構成に用いられうる。
図17に示すように、ピックアンドプレーススーパーストレート1700は、真空パッド1702及びチャネル1703を含む層1 1701を含む。スーパーストレート1700は、MEMS弁1705及び真空マニフォールド1706を含む層2 1704を更に含む。スーパーストレート1700は、加えて、温度制御可能であり且つ熱アクチュエータ1708(異なる陰影は夫々の温度勾配を表す)を含む層3 1707を含む。
図18に示すように、ピックアンドプレーススーパーストレート1800は、真空を用いて層2 1802に付着された層1 1801を含む。スーパーストレート1800は、層3 1803に結合された層2 1802を更に含む。層2 1802は、真空マニフォールド1804を含む。更に、スーパーストレート1800は、温度制御可能であり且つ真空マニフォールド1805を含む層3 1803を含む。
図19に示すように、ピックアンドプレーススーパーストレート1900は、層1 1901及び層2 1902を含む。層2 1902は、真空マニフォールド1903を含む。スーパーストレート1900は、温度制御可能であり且つHFマニフォールド1905を含んでよい層3 1904を更に含む。更に、スーパーストレート1900は、熱アクチュエータ1906(異なる陰影は夫々の温度勾配を表す)を含む。
これは、スーパーストレートの、数あるうちの一つの可能な実施例である。真空ピックアップ機構は、直径250nmの真空孔アレイを備えたシリコン板からなる。この板の裏面は、真空ポンプに接続される。Si等の熱伝導材料は、熱膨張率も低い(~3ppm/℃)が、アライメント制御に水冷技術の使用を認める。シリコン板は、組立処理において所望の正確な真空パターンを作成するために、シリコンウェハ上にフォトレジストをスピンコートさせ、次にUVウェハをUV互換DLPマイクロミラーアレイからの集束光に露光することにより作製できる。次に、シリコンウェハは、シリコン板に真空孔を作成するために、深堀反応性イオンエッチングを用いて徹底的にエッチングできる。真空孔アレイは、各孔において、その孔に付着した弁を開閉するために、個々にアドレス指定可能な静電MEMSアクチュエータを用いる。スーパーストレート上の真空孔は、最終製品ウェハと実質的に同じ格子状に配列されてもされなくてもよい。
MEMS弁は、ピックアップ板の裏面上にある上記250nm孔から50nm上につるされた~100nm厚のカンチレバーからなる。表面にカンチレバービームを引き下げて、その真空ポートに対して孔を閉じるために用いられる静電アクチュエータを作成するために、板の裏面の各孔の周りに電極をパターン化する。真空板の全表面は、静電荷が除かれた後にカンチレバーが板の表面にはりつくことを防ぐために、アンチスティックコーティングで被覆される。この設定では、各アクチュエータは、静電作動マイクロミラーアレイに対して開発されたのと同じ方法TIを用いて個々にアドレス指定可能にされる。この方法では、底面電極の下にCMOSメモリ回路をパターン化し、アクチュエータのオン/オフ状態を設定するために用いる。各メモリ回路の状態は、各ピクセルをアドレス指定するために平行列バスを用いて設定される。一旦メモリ回路が設定されると、各アクチュエータのオン/オフ状態を、そのアクチュエータに関連するメモリ回路のオン/オフ値に基づいて設定するために、クロックパルスが全システムに付与される。次に各アクチュエータは、メモリ回路が再設定されて新たなクロックパルスが付与されるまで、その状態に維持される。
ここで図20を参照すると、図20は、本発明の実施形態に係る、複数の素子を整列、配置及び結合する方法2000を示すフローチャートである。図21Aから図21Cは、本発明の実施形態に係る、図20に示したステップを用いて複数の素子を整列、配置及び結合する工程を示す断面図である。
ここで図21Aから図21Cと併せて図20を参照すると、ステップ2001において、図21Bに示すように、ピックアンドプレースサイクルの始めに、製品ウェハ2101を二液型接着剤の一液2102と任意にスピンコートする。
ステップ2002において、図21A及び図21Bに示すように、配置ステップの直前に、インクジェットは、複数素子202が配置される特定位置に接着剤2103の第2液を分配する。10μm未満の配置精度は、インクジェットを用いて通常達成される。これは、一辺が25μmよりも大きい素子202には十分である。以下の手法のうちの一又は複数を用いてインクジェット滴配置の更なる改善を達成できる。即ち、(1)インクジェットのノズルプレートと基板表面との隙間を1ミリメートルよりもかなり下まで減らす、及び(2)走査速度を1m/sよりもかなり下まで減らすこと、である。
ステップ2003において、図21Bに示すように、層状シリコン203及びスーパーストレート1004に付着した素子202を接着剤2103(又は2102及び2103)上に配置する。
ステップ2004において、図21Cに示すように、複数素子202をスーパーストレート1004から脱離する。
上述したスピンコート技術に対する代替は、二液型接着剤の二成分を同時分配する二のインクジェットを用いることである。インクジェットは、二液滴間で少なくとも部分的な重なりがあるように二成分を分配するようにプログラム可能である。この重なりは、素子の組み立て前に生じうる。或いは、素子組立ステップは、液滴同士の混合を促すことができる。
図21Aに示すように、選び取られた複数素子202を製品ウェハ2101に近づけるにつれて、先ず、粗アライメントを実行する。図21Aは、アライメントマーク2104と観察窓2105を備えたスーパーストレート1004を示す。これに続いて、一旦一の素子202が(液中)接着剤2103(又は2102及び2103)に接触すると実行されうる微細アライメントを実行する。図21Bに示すように、微細アライメントは、基板2101のアライメントマーク2106を用いる。真空スーパーストレート1004は、接着剤がゲル点に達するまで複数素子202に付着したままであり、その後、複数素子202を基板2101に確実に付着させる。
一の実施形態では、基板(マーク2104)にパターン化され且つ製品基板(マーク2106)にパターン化されたモアレアライメントマークを用いて微細アライメントを実行する。この種のアライメントシステムでは、サブ5nmアライメント精度を達成することが可能である。結合剤として液状接着剤を用いることの一つの利点は、配置ステップ中にスーパーストレート1004の最小トポグラフィ変動を保証する液中アライメントを実行できることである。アライメントに関する更なる議論は、参照により全てが本明細書に援用される、Cherala et al., “Nanoscale Magnification and Shape Control System for Precision Overlay in Jet and Flash Imprint Lithography,” IEEE Trans. Mechatronics, Vol. 20, No. 1, 2015, pp. 122-132に記載されている。
二液型接着剤は、理想的には、室温又はやや高温で硬化時間が低い。Ancamine(登録商標)2678等のエポキシ硬化剤は、室温で~2秒の薄膜設定時間を有しており、インクジェットノズルを用いて塗布できる35cPsの低粘度を有する。未硬化接着剤は、一般には、1から100cPsの範囲の粘度を有する。最適な接着剤の製剤形態は、多成分のエポキシ樹脂及び硬化剤と、設定時間を短縮する必要がある場合には促進剤とのブレンドを必要としてよい。
加えて、接着剤は、硬化処理中に収縮を示すはずである。これは、複数素子202が硬化後の製品基板2101により近づき、よって、スーパーストレート1004が、製品基板2101上に既に存在する複数素子202との望まないインターフェイス接続を受けないことを保証するためである。接着剤の収縮に加えて、スーパーストレートの厚みを調整することにより、材料との望まないスーパーストレート干渉も回避できる。計算では、30mm(ダイの最大サイズ)離れた4つの材料上に単に支持された4mm厚SiCスーパーストレート層は、自重により、中心で1nm以上たわまないことが示されている。
或いは、UV硬化接着剤は、複数素子を製品基板に一時的に付着させるために用いることができる。これにより、スーパーストレーは、サファイア(Al)又はUV透過SiC(このようなSiCウェハは、Cree, Inc.を含むソースから利用可能である)等のUV透過剤から作製されることを余儀なくされる。
一旦製品基板が複数素子で完全に実装されると、基板に複数素子を更に固定するために、スパッタリング、原子層堆積(ALD)、及び化学気相蒸着等の、真空ベース化学蒸着処理を用いた材料堆積/被覆ステップも実行できる。
或いは、陽極接合ステップは、接着処理を完全に置換できる。
一旦複数素子の第1セットが組み立てられると、各追加種の素子に対して組立処理は反復できる。多層塗布では、複数素子の各素子間に介在層も追加できる。この介在層は、機械的接着用、電気/熱/光接続用のカーボンナノチューブ(CNT)フォレストとスルービアとを組み入れる。
形状サイズが減少するにつれて、これらの形状をパターン化するマスクコストは急騰した。マスクのフルセットのコストは、90nmリソグラフィノードに対して約1.5Mドルであり、65nmリソグラフィノードに対しては2Mドルに及びうる(ある評価によれば、形状サイズが減少するにつれ、マスク描画時間は5の累乗として増加する)。加えて、大きな設計の複雑さが増すと、設計再スピン数が増加する。上記二つの要因により、標準セルASICの経常外エンジニアリングコスト(NRE)は著しく増加し、これは、装着品、科学及び医療用カスタムチップ等の低から中容積塗布に対して法外に高価となりうる。フィールドプログラマブルゲートアレイ(FPGA)は、高速プロトタイピング及び極微量塗布に許容できる解を提案するが、非常に非効率な空間使用や所望タイミング未満であるために、一般にはASICの置換としては見られない。
限定数で大量生産された材料論理回路を用いてASICの作製するための上述した真空ベース組立技術の新規な用途に関する議論は、以下に記載される。これは、多数のASIC設計に渡るサブ100nm形状サイズのマスクコストのシェアリングを生じ、個々の設計のコストを減らす。反復論理回路材料(repeating logic feedstocks)を用いてASICを構築する概念は、ビア/金属構成された構造材料で構成されるASICが、セルベースASICと近い空間使用及び空間性を達成できることを示した先行研究に基づいている。しかしながら、提案技術では、材料種類及び構成の点で選択がかなり多い。
上述した組立技術は、不連続材料(ここで一の素子202が材料である)を用いてASIC作製の問題に直接的に適用できる。処理及び機械的設計概念は、次の一般指針に従うはずである。即ち、(1)組立精度(サブ100nm3σ)が最も重要であり、(2)組立時間が重要であり(しかしながら、組立精度より重要ではない)、(3)粒子を生じる処理は回避される必要がある。
マルチプルASIC設計に材料を提供するために同じ材料ウェハを用いるので、生じる一の問題は材料使用の問題である。図22を参照すると、図22は、実施形態に係る、最適素子利用方策を示す図である。(ASIC設計1の分布とは異なる)材料1の特異的分布を必要とするASIC設計2は、材料ウェハ1の既存材料のみ用いて完全に実装できない。ここで、各材料種類に対する材料ウェハの貯蔵分が維持されるピックアンドプレース方策が提案される。先ず、最大可能材料は、最大劣化材料ウェハから用いられる(図22のウェハ1.1)。次に、製品基板が一種類の材料で完全に実装されるまで、劣化が少ないウェハ(図22のウェハ1.2)から最大可能材料を用いる処理は続く。所定の点で、最大劣化ウェハは、材料が少なすぎて(閾値以下)効率的に使用できなくなると、無視されるか、考えられる廃物利用のために保存される。廃物利用ツールは、上述したピックアンドプレースツールと構成が類似しているが、製品ウェハに材料を転写する代わりに、材料を再配列する。
異なるソースウェハからの材料は、一般に、異なる材料厚みを有する。このような材料の組み立ては、望まないスーパーストレート干渉と平坦性の欠如との問題を引き起こす。望まないスーパーストレート干渉の回避は、幾つかの手法により達成できる。二の模範的手法を以下に挙げる。
1.全ての組立材料が先に組み立てた材料よりも僅かに高さが高くなる(例えば5から10nm)ことを保証する。(これは結果的に、以下に別途記述する平坦性の欠如をもたらす)。各材料に対して予め指定された別個の組立高さを保証する能力は、以下の模範的手法により達成できる。
a)ダミー材料。3つの材料を備えた場合について図23を参照する。図23は、本発明の実施形態に係る、可変高さ問題を解決するダミー材料2301(「材料2」及び「材料3」として識別される)を示す図である。異なる可能性がある厚み{ t1 < t2 < …. < tn }を有するn個の材料を備えた一般的な場合については、厚み{ (tn - t1 + 1) > (tn - t2 + 2) > … > (tn - t(n-1) + (n-1)) }を有する(n-1)個のダミー材料が存在するであろう。但し、所与のステップでアセンブリが予定されている場所以外の領域で望まないスーパーストレート干渉を回避するために、小さな厚み{ 1 > 2 > … > (n-1) }が付与される。加えて、望まないスーパーストレート干渉を回避するために、アセンブリが特異的配列で生じる必要がある。この配列は、全組立ステップにおいて、組み立てられる所与の材料が、その他の全ての既に配置された材料又はダミー材料よりも高い位置に上面を有するように、材料又はダミー材料を組み立てることを常に要求する。
b)一の実施形態において、各材料の下でインクジェットステップ中に堆積される、別個の予め計算された総容積を用いることにより達成できる上述した下層接着層の厚みを変動させる。
c)埋込犠牲層上の半導体層の予め計算された別個の厚みを有するように各種の材料ソースウェハを作製する。
d)トレンチが、対応する材料寸法(例えば、0.25マイクロメートル)よりも僅かに大きい横寸法を有するように、また、各材料位置でのエッチング深さは、対応する材料の上面が最後には材料及びその接着層を含む所定高さとなることを保証するように独立して選択されるように、矩形トレンチをエッチングして製品ウェハとする。
2.全ての組立材料が組み立て後に実質的に同じ高さになることを保証する。しかしながら、接着硬化は典型的には2から10%の体積収縮を含むので、組み立てられる各材料は接着硬化ステップ前には僅かに高さが高い。一例として、10%収縮及び50nmの初期厚みを有する接着剤(接着剤の製剤形態に関する上述の議論を参照)は、未硬化状態で材料の正確な位置づけを可能にするように、未硬化状態で~5nmの隙間を与える(上述した議論を参照)。独立して又は組み合わせて用いた上述の1.a)から1.d)の模範的手法によっても、組み立て後に各材料が実質的に同じ高さを得ることができる。
手法1に議論された方法論は、その後の処理を正確に達成できることを保証するために(例えば、焦点拘束のフォトリソグラフィ深さ)、任意には平坦化ステップを必要としてよい。この平坦化問題を解決するために、インクジェットベース平坦化手法を用いることができる。或いは、同じ目的を達成するために、化学的機械研磨(CMP)処理も用いることができる。
寸法がI×w=10mm×10mmの模範的ASICダイを考慮する。各材料は、一辺がl=100μmである。ダイ当たりの材料数は、n=10,000である。nftyp=20種の材料があると仮定すると、夫々、効率的利用のためにnftyp=10種の材料ウェハの貯蔵分を有する(上述の通り)。これにより、約200のピックアンドプレースステップが生じ、各ステップは、ダイ当たり平均50個の材料を転写する。ウェハ当たりndpw=300のダイがあると仮定すると、これは、全体でピックアンドプレースステップ当たり転写された平均15,000の材料と等価である。
以下、材料構成可能ASICシステムオンチップ(SoC)を設計するのに必要なEDA(電子設計自動化)設計及びCAD(コンピュータ支援設計)フローについて議論する。典型的には、ASIC SoCは、性能/速度、領域、及び電力仕様に合うように最適配置された数十億のトランジスタを含む。ASIC SoCを効率的に設計するために、即ち、市場に対するターンアラウンド時間(TAT)の低い設計書に合わせるために、設計処理を簡単にする第三者EDA CADツールが存在する。標準セルベースASIC SoCに類似して、材料構成可能ASICも、これらのEDAツールを利用する。
材料構成可能ASICのEDAフローでは、既存EDA CADツールの大部分を再利用しようとする。しかしながら、全設計フローには、社内開発されたEDA処理ステップは殆どない。しかしながら、社内解は、端末間解のシームレス配置(seamless deployment)を保証するように、既存EDAツールに容易に統合できる。
上述したように、材料は、トランジスタ層、配線層、及び誘電体層からなる。材料の選択及び配置は、任意には設計書に合うように実行され、これについては以下のセクションで議論する。材料セルは、基層(トランジスタ、標準セル等から構成される)、及び配線を形成するn層の金属層(但し、n>=1)を備える。材料は、トランジスタ及び他の部品に電力を与えるためにリング、ストラップ、ストライプ、フォローピン等を含む内部電力グリッド構造を備えてよい。材料構成可能SoCは、以下に述べるように、異なる種類の材料を含んでよい。しかしながら、このリストは包括的ではない可能性があり、材料の種類はこれらに限定されるべきではない。
a.論理回路材料:SoCで論理回路設計素子を実施及び設計するために用いられるマイクロスケール回路
b.メモリ材料:SoCでメモリ設計素子(SRAM等)を実施及び設計するために用いられるマイクロスケール回路
c.IO材料:SoCでIO回路(SRAM等)を実施及び設計するために用いられるマイクロスケール回路
d.マクロセル材料:SoCでマクロセルを実施、設計及び干渉するために用いられるマイクロスケール回路
e.混合材料:その他の種類の材料に存在する設計素子を含むマイクロスケール回路
典型的なSoCは、上述したように、異なる種類の材料含む。各種類の材料は、複数回インスタンス化できる。設計フローは、異なる種類の材料のヘテロジニアスインテグレーションを可能にする。ヘテロジニアスとは、Si、GaAs等の異なる材料、異なるテクノロジーノード、及びメモリ技術を用いて、これらの材料を製造できるという意味である。更に、論理回路材料、メモリ材料、IO材料等の異なる種類の材料は、可変する厚み値を有してよい。これは、金属層、ピッチ値、テクノロジーノード等の数に差異があるためでありうる。この種のSOCは、標準セルASICフローに類似する、ハードインテレクチャルプロパティ(IP)ブロック、ソフトIPブロックのインテグレーション(集積)も可能にする。
図24は、本発明の実施形態に係る、材料構成可能SoCの可能な構成の一つを示す図である。これは、サイズが50μm×50μmの複数の論理回路材料(1)と、サイズが70μm×70μmの複数のメモリ材料(2)と、サイズが100μm×100μmの複数のIO材料(3)と、夫々サイズが70μm×70μmのセル材料(4)及びハードIPブロック(5)と、サイズが50μm×50μmのソフトIPブロック(6)と、を含む。更に、各種類の材料は、異なる数の金属層及び厚みを有する。論理回路材料は金属上層として金属3(M3)を有しており、IO材料は金属上層としてM11を含み、ハードIPブロックは金属上層としてM6を有する。材料カウント及び配列は、設計上の要求条件により異なってよい。同じ種類の材料の異なる陰影は、特定種類の異なる設計構成を示す。例えば、論理回路材料陰影2401は、材料陰影2402と設計において異なっている。同様に、その他の種類の材料は、異なる構成を有してよい。
ここで論理回路材料設計及びそのEDA方法論に関して議論することは適当と認められる。先ず、論理回路材料の構造について説明する。次に、EDA設計方法論と、既存EDAツール及び社内解を用いた論理回路設計を実施するEDA設計ステップの概要について説明する。次に、このフローで開発部された新規の社内解について説明し、その後、新規の材料設計と、SoCにおける材料を設計及び配置するために用いられる材料配置アルゴリズムとについて、夫々議論する。次に、バックエンド設計段階で実施される新規のアルゴリズム、即ち、クロックツリー合成(CTS)、及びポストCTS、ポストルート最適化について議論する。
図25は、本発明の実施形態に係る、一般的な論理回路材料構成を示す図である。論理回路材料は、以下のコンポーネント、即ち、標準セル(1)、トランジスタ(2)、ゲートアレイ(3)、マクロセル(4)、物理専用セル(5)、走査セル(6)等のうちのいずれかの組み合わせから構成されてよい。これらのコンポーネントは、設計上の要求条件により、配線(7)又はスペア開放トランジスタ(8)又はスペアセル(9)を用いて接続できる。論理回路材料は、n層の金属層(但しn>=1)からなる。コンポーネント間の連結性は、同じタイミングパス又はグループに属しても属さなくてもよい。入力および出力ポート(10)は、有限数存在する。フィードスルー信号を収容するために、材料の周囲に更なるポート(11)が存在してもよい。材料は、トランジスタ、セル等に電力を与えるために内部電力グリッドから構成されてよい。電力グリッドは、リング、ストラップ、ストライプ、フォローピン等のうちのいずれかの組み合わせから構成されてよい。電圧レベルは、異なる電圧アイランド(島状構造)(12)を形成する材料の異なる領域において異なってよい。
図26は、本発明の実施形態に係る、標準セルベース論理回路材料構成を示す図である。これは、32nmテクノロジノードを用いて実施される標準セル(1)のみからなる。全ての標準セルは開放されている。即ち、スペアゲートの海部として扱うことができる。標準セルに電力を与えるためには、(高次金属層を用いて敷設された)パワーストラップから標準セルパワーピン又はフォローピンに電力接続するようにフォローピン(2)を敷設する。以下に議論されるM2A2 EDAフローは、これらの種類の論理回路材料を利用する。図26は、この種の論理回路材料のレイアウトを示しており、ケイデンスイノバス(Cadence Innovus)ツールを用いて生成される。
図27は、本発明の実施形態に係る、材料構成可能ASICの論理回路設計を実施するEDA方法論を示す図である。EDAフローは、目的を達成するために独自の方法で固定された複数のEDA処理ステップを含む。このフローは、社内開発され且つ陰影2701でハイライトされた、設計生産、材料選択、配置、及び部分的合成等のステップを含む。陰影2702でハイライトされた設計アイテムは、既存の商用EDA解を有するアイテムであり、このフローで再利用される。既存EDA解及び社内解を利用し、当該解を達成するために開発され、陰影2703でハイライトされた、ある一定の設計ステップもこのフローに存在する。このフローで記述されるステップは、完全には網羅されていない又は全詳細が記述されていない可能性があり、最良のQoRを達成するためには、異なる設計に対して小さな変更を実行できる。
次にEDAフローの設計ステップの概要を提示する。各ステップに関する詳細な説明を以下に更に議論する。材料設計生成では、マルチプル標準セルベースASIC SoCからの設計データに基づいて、限定数の有限材料がn個(但しn>=1)生成される。この解は、グリーディ手法ベースマッピング、教師なし学習、及びグラフマッチング技術等の各種のアルゴリズム技術を実施することにより社内開発される。一旦材料が生成されると、これはフローのライブラリーとして役立ち、ここでは「マイクロスケールモジュール組立ASIC」(M2A2)と称する。
M2A2 EDAフローでは、技術変更指令(ECO)合成の物理及びタイミング認識を改善させるために、入力標準セルベース設計はマルチプルモジュールに分割されてよい。設計分割は、FMカット、最小フロー等の標準分割アルゴリズムのいずれかにより達成できる。そして材料は、機能性及び性能仕様に合うように、選択及び任意に設計/モジュールに配置される。この解は社内開発され、グリーディ手法ベースマッピング、教師なし学習、及びグラフマッチング技術等の各種の技術を用いて実施できる。この設計は、所望により、スペアセルにより部分的に合成されてよい。そして、材料設計・配置・設計データは、標準産業フォーマット、即ちネットリストファイル、及び設計交換フォーマット(DEF:design exchange format)ファイルにおいてコラテラル(collaterals)を生成するために処理される。これらのファイルは、完全合成を実行するためにECO合成ツールに入力される。一旦ネットリスト及びDEFファイル形態の設計データが生成されると、ポストマスクECO合成を実行するためにケイデンスコンフォーマル(Cadence Conformal)ECOと称される産業標準ECOツールが用いられる。これは、材料構成可能SoCに予め配置されたスペアセルを用いて設計合成を可能にする。合成ネットリストを生成するために、イノバス(Innovus)と称されるケイデンス(Cadence)配置ルート(P&R)ツールにロードされたパッチネットリストファイルが生成される。ここまでに記述された全ての設計ステップは、M2A2 EDAフローのフロントエンド設計段階を形成する。合成が先ず実行された後に配置される従来の標準セルベースASICフローとは異なり、M2A2 EDAフローは、同時最適配置及び合成を実行する、即ち、配置及び部分的合成反応が一緒に実行された後に完全ECO合成が行われることは、特筆すべきである。
フロントエンド設計段階の後に、バックエンド終了段階が続く。バックエンド設計段階では、ケイデンスP&Rツールイノバスを用いて、プレクロックツリー合成(プレCTS)最適化が先ず実行される。この最適化は、配線の長さ及び遅延等を減じるために、ピンスワッピング、セルスワッピングを含む。一旦プレCTS最適化が実行されると、クロックツリーが構築される。今日では、ポストマスクCTS、即ち、基層セルを凍結又は固定したままにするクロックツリーの構築、を実行する商用EDA解は存在しない。ポストマスクCTSを実施するために、第1ケイダンスイノバスツールが、SoCの所望領域にセルを挿入してクロックツリーを構築するために用いられる。所望領域は、スペアクロックツリーバッファ、インバータ、及びクロックゲーティングセルが配置される領域である。そして、社内解は、設計に配置された既存スペアセルを備える、新たに追加したクロックツリーセルを、マッピング又はスワッピングするように開発される。これは、グリーディマッピング手法、グラフマッチング技術等を用いて実行できる。一旦基層を変更せずにクロックツリーが構築されると、ケイデンスイノバスツールを用いてルーティングが実行される。性能測定基準を改善させるために、ポストマスク特性を保持するポストCTS及びポストルートバッファ挿入解が社内開発される。既存商用EDAツールでは、全てのセルが凍結又は固定される場合には、バッファ挿入は起こらない。よって、この解は、回路速度という点で性能等の設計測定基準を改善させる。
一旦設計が合成及びルーティングされると、設計性能を解析し且つそれを仕様書と比較するために、サインオフ解析が実行される。タイミングサインオフ、物理検証、電力チェック等のサインオフ解析は、標準商用EDAツールにより実行される。性能が合わない場合には、材料配置段階又はバックエンド段階へフィードバックできる。これは、サインオフツールにより観察された課題の性質次第である。一旦QoRが合うと、設計は機能的に検証され、鋳造に対するEDA設計段階の最終成果物であるGDSIIファイルが生成される。
ここで材料設計生成アルゴリズムに関する議論することは適当と認められる。図28に提示されたAlgorithm1は、本発明の実施形態に係る、グリーディマッピング手法に基づく材料生成を実施する。このアルゴリズムは、実施しやすいが性能的には最適ではない反復グリーディマッピング手法を用いて材料を設計する。
図29に示すようなAlgorithm2は、本発明の実施形態に係る、最適グラフマッチング技術及びk-Meansクラスタリング(機械学習におけるアルゴリズムのカテゴリの、教師なし学習として知られる)を用いて材料設計生成を実施する。この材料を設計するアルゴリズムは、最良の材料構成を得るために、アルゴリズムの各ステップで最適解を達成するように、最小コスト二部グラフマッチング技術(min-cost bi-partite graph matching technique)、論理回路再構成(logic restructuring)、k-Meansクラスタリング、配置認証(placement legalization)、タイミングアウェアネット・セルウェイティング(timing aware net and cell weighting)等の複数の技術を利用する。
ここで材料配置・選択・部分的合成アルゴリズムに関する議論することは適当と認められる。図30に提示されたAlgorithm3と、図31に提示されたAlgorithm4は、夫々、本発明の実施形態に係る、グリーディマッピング手法と、最適グラフマッチングとに基づく材料選択及び配置を実施する。
Algorithm3は、利用可能な材料とともに重要要因が多い順に分類されたウインドウのグリーディマッピングに反復的に基いて、設計上の材料を選択及び配置する。反復手法は、最適解を結果として生じない。最適マッピングを達成するために、Algorithm4が開発された。これは、最適グラフマッチング技術を用いて、材料設計を選択、配置及び部分的に合成する。このアルゴリズムは、設計上の材料の最適配置を得るために、アルゴリズムの各部で良好な解を達成するように、最小コスト二部グラフマッチング技術、論理回路再構成、配置認証、タイミングアウェアネット・セルウェイティング等の複数の技術を利用する。
ここでポストマスクバックエンド設計最適化及びポストマスククロックツリー合成について議論する。図32に示すAlgorithm5は、本発明の実施形態に係る、ポストマスククロックツリー合成アルゴリズムを提示する。このアルゴリズムは、2段階(フェーズ)に分割できる。フェーズIはステップ#1から#4を含むのに対し、フェーズIIは残りのステップ#5から#20を含む。フェースIでは、アルゴリズムは、所望領域/サイトにセルを挿入するツールを動かすことによりクロックツリーを構築するために、ケイデンスにより開発された商用EDA解を利用する。所望サイトは、スペアCTSセルを有する設計上のこれらの領域である。そして、社内解が、フェーズIIに提示されるように開発される。これは、クロックツリースキュー及び挿入遅延を最適化しながらポストマスク特性を保持する。このアルゴリズムは、設計で配置されたスペアセルとともに、設計上でCTS追加セルをマッピングする。これは、グリーディマッピング手法又は最小コスト二部グラフマッチング技術を用いて実施できる。
図33は、本発明の実施形態に係る、ポストマスクポストCTS、ポストルートバッファ挿入を実施するAlgorithm6を示す図である。商用最先端EDAツールは、ポストマスクバッファ挿入を実行しない。このアルゴリズムでは、先ずタイミングパスが解析される。次に、SoCの好ましい領域でのスペアセル利用可能性に基づいて、クロックパス及びデータパス最適化が実行される。タイミングパスの違反時にスペアバッファを挿入する決定は、バッファ挿入の前後でタイミングパスに関連するコストを計算することにより判断される。バッファは、タイミングが改善する場合に挿入される。同様に、クロックパス最適化では、スキュー及び挿入遅延が減少する。このアルゴリズムは、全てのタイミングパスについて最適解を得るように、最小コスト二部グラフマッチング技術等のアルゴリズム技術を用いて、性能を最適化する。
ここでメモリ材料の設計について議論する。メモリ材料は、SoC上でオンチップSRAMメモリを実施するために用いられるマイクロスケール回路である。典型的なSRAMは、ワード及びビットライン、センスアンプ、カラム及びロウデコーダ、タイマ回路、その他の周辺回路等を備えたビットセルアレイを含む。メモリ材料は、これらのメモリ設計素子のうちのいずれかの組合せを含んでよい。メモリ材料は、ビットアレイ及び制御回路とともに自立可能であるか、又はビットセルアレイのみから構成されてよく、或いはセンスアンプ、タイマ、カラム及びロウデコーダ等の制御回路のみから構成されてよい。メモリ材料が異なる技術を用いて実施可能であり、これらの材料のヘテロジニアスインテグレーションが設計フローにおいて支持されることは、特筆すべきである。
図34は、本発明の実施形態に係る、可能なメモリ材料構成の一つを示す図である。メモリ材料構成3400は、SRAMセルビットアレイ(1)、ビットライン(2)、ワードライン(3)、IOセル(4)、タイマ回路(5)、センスアンプ(6)、及びデコーダ(7)等の基本メモリ設計素子を含む。
ここでIO材料設計について議論する。IO材料は、IO動作専用のマイクロスケール回路設計素子である。これは、以下のコンポーネント、即ち、IOセル、信号IOバッファ、電源パッド、IOパッド、ESD及びデキャパシタンス回路等のうちのいずれかの組合せを含む。これらのコンポーネントは、配線を介して接続されてもされなくてもよい。この材料は、n層の金属層(但しn>=1)を含んでよい。設計者のニーズにより、可能な設計素子のうちの一つと接続するためにIO材料にプログラム可能な配線が存在することも可能である。
図35は、本発明の実施形態に係る、IO材料3500の可能な構成の一つを示す図である。IO材料3500は、IOバッファ(1)、信号用又はパワーグランド用となりうるIOパッド(2)、IOセル(3)、配線(4)、プログラム可能配線(5)、及びESD/デキャプ回路(6)を含む。
M2A2ベースSoCは、外部IPブロックのインテグレーション(集積)を可能にする。これらのIPブロックは、ハードIPブロック又はソフトIPブロックでありうる。ハードIPブロックは、完全設計された接触禁止ブロック(don’t touch block)。対照的に、ソフトIPブロックは、設計変更を必要とする。これらの設計変更は、マクロ材料を利用することにより実施できる。マクロ材料のコンポーネントは、設計に用いられるマクロセルの種類に依存する。また、論理回路、メモリ等の設計上のその他のコンポーネントとマクロセルとのシームレスインテグレーション(集積)に、マクロ材料セルが用いられてよい。これらの材料は、任意の数の金属層を備えた基本設計素子を含んでよい。これは、材料をより一般的に用いるためのプログラム可能配線を含んでもよい。
上述したように、既存ピックアンドプレース技術では、ナノスケール精度アセンブリを達成できない。ここで、本発明を利用すると、サブnm精度モアレアライメント技術と併せて真空ベースピックアップ機構を用いて、ナノスケール精度アセンブリを達成でき、その結果、材料の高精度並列アセンブリが生じることができる。
本発明の各種の実施形態の記載は、例示のために提示されたが、網羅的であること又は開示された実施形態に限定されることを意図するものではない。多くの変更及び変形は、開示された実施形態の範囲及び精神から逸脱することなく、当業者には明白であろう。ここに用いられた文言は、実施形態の原理、実地応用又は市場でみられる技術の技術的改良を最も良く説明するために、又は他の当業者がここに開示された実施形態を理解できるように、選択された。

Claims (16)

  1. 異種コンポーネントを組み立てる方法であって、
    複数素子のサブセットを、前記複数素子のサブセットに取り付けた真空スーパーストレートにより、ソースウェハから選択的に選び取り、
    前記選択的に選び取られた複数素子のサブセットを、製品基板上にインクジェット又はスピンコートされた液状の接着剤上にモアレ計測学スキームを用いてサブ100ナノメートルの精度で配置し、
    前記接着剤がゲル点に達するまで前記真空スーパーストレートを使用して前記複数素子のサブセットを保持することにより、前記選択的に選び取られた複数要素のサブセットを前記製品基板上に強固に付着させる、
    ことを特徴とする方法。
  2. 前記選択的に選び取られた複数素子のサブセットの分布は任意であることを特徴とする請求項1に記載の方法。
  3. 前記複数素子のサブセットは、高並列であるピックアンドプレースを用いて前記ソースウェハから選択的に選び取られることを特徴とする請求項1に記載の方法。
  4. 前記複数素子は、一辺サブ10マイクロメートルから一辺1ミリメートル以上までの異なるサイズであることを特徴とする請求項1に記載の方法。
  5. 前記複数素子のサブセットを組み立てる工程は、サブ50nm規模のアライメント能力(配向能)を達成することを特徴とする請求項1に記載の方法。
  6. 前記真空スーパーストレートと前記製品基板とのアライメントは、前記モアレ計測学スキームを用いて達成されることを特徴とする請求項5に記載の方法。
  7. 選び取られた複数素子が前記製品基板に運ばれる際に、ステージアクチュエータを用いて粗アライメントを実行する工程と、
    前記複数素子のサブセットが前記製品基板上の未硬化接着剤に接触した後に、微細アライメントを実行する工程と、
    を更に備えることを特徴とする請求項6に記載の方法。
  8. 前記真空スーパーストレートを含む真空ベースピックアップ機構を用いて前記複数素子のサブセットを選択的に選び取る工程と、
    エッチングガス又は機械的引張手法を用いて前記複数素子のサブセットを選択的に剥離する工程と、
    を更に備えることを特徴とする請求項1に記載の方法。
  9. 前記製品基板上に単一又は多成分接着剤を堆積する工程と、
    を更に備えることを特徴とする請求項8に記載の方法。
  10. 前記複数素子のサブセットは、前記真空ベースピックアップ機構を前記製品基板に接触させて真空を解除することにより、前記製品基板上に組み立てられることを特徴とする請求項9に記載の方法。
  11. 前記取り付けた複数素子のサブセットを更に固定するために、その後の真空蒸着処理を実施する工程と、
    を更に備えることを特徴とする請求項10に記載の方法。
  12. 前記真空ベースピックアップ機構の歪み制御に、温度制御技術が用いられることを特徴とする請求項8に記載の方法。
  13. 真空孔を選択的に活性化させて前記真空ベースピックアップ機構を作動させるために、微小電気機械システム(MEMS)に基づく駆動弁が用いられることを特徴とする請求項8に記載の方法。
  14. 前記複数素子のサブセットの各特定ピックアップ構成に、カスタムピックアップ層が用いられることを特徴とする請求項8に記載の方法。
  15. 前記方法は、材料回路から特定用途向け集積回路(ASIC)を構成するために用いられることを特徴とする請求項1に記載の方法。
  16. 製品組み立ての始めに最大劣化材料ソースウェハから材料をピックアップする工程と、
    次に多く劣化したウェハから最大可能材料をピックアップする工程と、
    前記製品基板が一種類の材料で完全に実装されるか、又は、所与材料の全貯蔵分がアクセスされるまで、前記次に多く劣化したウェハから前記最大可能材料をピックアップし続ける工程と、
    を更に備えることを特徴とする請求項15に記載の方法。
JP2019534698A 2016-12-23 2017-12-22 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション Active JP7348062B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023145473A JP2023169239A (ja) 2016-12-23 2023-09-07 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662438952P 2016-12-23 2016-12-23
US62/438,952 2016-12-23
PCT/US2017/068328 WO2018119451A1 (en) 2016-12-23 2017-12-22 Heterogeneous integration of components onto compact devices using moire based metrology and vacuum based pick-and-place

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023145473A Division JP2023169239A (ja) 2016-12-23 2023-09-07 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション

Publications (2)

Publication Number Publication Date
JP2020517089A JP2020517089A (ja) 2020-06-11
JP7348062B2 true JP7348062B2 (ja) 2023-09-20

Family

ID=62627399

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019534698A Active JP7348062B2 (ja) 2016-12-23 2017-12-22 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション
JP2023145473A Pending JP2023169239A (ja) 2016-12-23 2023-09-07 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023145473A Pending JP2023169239A (ja) 2016-12-23 2023-09-07 モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション

Country Status (6)

Country Link
US (1) US11469131B2 (ja)
EP (1) EP3558600A4 (ja)
JP (2) JP7348062B2 (ja)
KR (2) KR20230170131A (ja)
CN (1) CN110402189B (ja)
WO (1) WO2018119451A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111758156A (zh) 2017-12-22 2020-10-09 德克萨斯大学系统董事会 纳米级对准的三维堆叠式集成电路
TWI748599B (zh) * 2020-08-14 2021-12-01 矽品精密工業股份有限公司 晶片整配系統及晶片整配方法
WO2023056072A1 (en) * 2021-09-30 2023-04-06 Board of Regents, The University of the Texas System Tool and processes for pick-and-place assembly
IL311846A (en) * 2021-09-30 2024-05-01 Univ Texas Pick-and-place assembly tools and processes

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124431A (ja) 2001-10-17 2003-04-25 Sony Corp ウェーハ状シート、チップ状電子部品、およびそれらの製造方法
JP2005122823A (ja) 2003-10-16 2005-05-12 Elpida Memory Inc 半導体装置および半導体チップ制御方法
JP2008218599A (ja) 2007-03-02 2008-09-18 Disco Abrasive Syst Ltd ウェーハの加工方法および加工装置
JP2010161211A (ja) 2009-01-08 2010-07-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置の製造装置
WO2011108327A1 (ja) 2010-03-04 2011-09-09 株式会社日立製作所 再配列ウェーハの製造方法および半導体装置の製造方法
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
JP2015106671A (ja) 2013-12-02 2015-06-08 富士通株式会社 半導体装置、半導体装置の製造方法、基板及び基板の製造方法
US20160233206A1 (en) 2013-12-18 2016-08-11 Intel Corporation Partial layer transfer system and method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01107102A (ja) * 1987-10-19 1989-04-25 Toyota Autom Loom Works Ltd 光学式自動位置決め装置
US5360752A (en) 1993-10-28 1994-11-01 Loral Federal Systems Company Method to radiation harden the buried oxide in silicon-on-insulator structures
US6362075B1 (en) 1999-06-30 2002-03-26 Harris Corporation Method for making a diffused back-side layer on a bonded-wafer with a thick bond oxide
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US6589594B1 (en) * 2000-08-31 2003-07-08 Micron Technology, Inc. Method for filling a wafer through-via with a conductive material
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4643185B2 (ja) 2004-07-05 2011-03-02 リンテック株式会社 移載装置
US20060057520A1 (en) * 2004-09-16 2006-03-16 Saia Richard J Control valve assembly for controlling gas flow in gas combustion systems
JP2006093500A (ja) * 2004-09-27 2006-04-06 Renesas Technology Corp 電子装置
JP2008078443A (ja) * 2006-09-22 2008-04-03 Seiko Epson Corp 基板保持装置、基板保持装置の製造方法、基板の保持方法
JP5040527B2 (ja) * 2007-08-28 2012-10-03 富士電機株式会社 マルチチップ型半導体装置の製造方法
KR101026040B1 (ko) 2008-11-13 2011-03-30 삼성전기주식회사 박막소자 제조방법
US20100155880A1 (en) 2008-12-23 2010-06-24 Intel Corporation Back gate doping for SOI substrates
US8895338B2 (en) * 2010-03-29 2014-11-25 Corporation For National Research Initiatives Method of fabricating MEMS, NEMS, photonic, micro- and nano-fabricated devices and systems
US8329509B2 (en) * 2010-04-01 2012-12-11 Freescale Semiconductor, Inc. Packaging process to create wettable lead flank during board assembly
US8889485B2 (en) 2011-06-08 2014-11-18 Semprius, Inc. Methods for surface attachment of flipped active componenets
EP2737498B1 (en) 2011-07-27 2017-03-29 SRI International Manufacturing using levitated manipulator robots
US9105492B2 (en) * 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
JP2014011283A (ja) * 2012-06-29 2014-01-20 Kyocera Chemical Corp 半導体装置の製造方法及びポジ型感光性接着剤組成物
US8835940B2 (en) 2012-09-24 2014-09-16 LuxVue Technology Corporation Micro device stabilization post
KR102048378B1 (ko) 2014-06-18 2019-11-25 엑스-셀레프린트 리미티드 트랜스퍼가능한 반도체 구조체들의 방출을 제어하기 위한 시스템들 및 방법들
US9633982B2 (en) 2015-02-17 2017-04-25 Chun Yen Chang Method of manufacturing semiconductor device array
KR101614370B1 (ko) 2015-04-07 2016-04-21 엘지전자 주식회사 반도체 발광소자, 반도체 발광소자의 이송 헤드, 및 반도체 발광소자를 이송하는 방법

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124431A (ja) 2001-10-17 2003-04-25 Sony Corp ウェーハ状シート、チップ状電子部品、およびそれらの製造方法
JP2005122823A (ja) 2003-10-16 2005-05-12 Elpida Memory Inc 半導体装置および半導体チップ制御方法
JP2008218599A (ja) 2007-03-02 2008-09-18 Disco Abrasive Syst Ltd ウェーハの加工方法および加工装置
JP2010161211A (ja) 2009-01-08 2010-07-22 Renesas Technology Corp 半導体装置の製造方法および半導体装置の製造装置
WO2011108327A1 (ja) 2010-03-04 2011-09-09 株式会社日立製作所 再配列ウェーハの製造方法および半導体装置の製造方法
JP2013243333A (ja) 2012-04-24 2013-12-05 Tadatomo Suga チップオンウエハ接合方法及び接合装置並びにチップとウエハとを含む構造体
JP2015106671A (ja) 2013-12-02 2015-06-08 富士通株式会社 半導体装置、半導体装置の製造方法、基板及び基板の製造方法
US20160233206A1 (en) 2013-12-18 2016-08-11 Intel Corporation Partial layer transfer system and method

Also Published As

Publication number Publication date
US11469131B2 (en) 2022-10-11
EP3558600A4 (en) 2020-11-25
JP2020517089A (ja) 2020-06-11
KR20230170131A (ko) 2023-12-18
JP2023169239A (ja) 2023-11-29
WO2018119451A1 (en) 2018-06-28
KR20190100951A (ko) 2019-08-29
EP3558600A1 (en) 2019-10-30
US20210134640A1 (en) 2021-05-06
CN110402189A (zh) 2019-11-01
KR102610850B1 (ko) 2023-12-06
US20230042873A1 (en) 2023-02-09
CN110402189B (zh) 2023-04-21

Similar Documents

Publication Publication Date Title
JP2023169239A (ja) モアレベース計測学及び真空ベースピックアンドプレースを用いたコンパクト装置へのコンポーネントのヘテロジニアスインテグレーション
US11121121B2 (en) 3D semiconductor device and structure
US9496155B2 (en) Methods of selectively transferring active components
US8963278B2 (en) Three-dimensional integrated circuit device using a wafer scale membrane
US20230118578A1 (en) Nanofabrication and design techniques for 3d ics and configurable asics
US20230116581A1 (en) Nanoscale-aligned three-dimensional stacked integrated circuit
EP2225096B1 (en) Manufacturing optical elements
CN103426832A (zh) 晶片封装体及其形成方法
JP2023543035A (ja) ウェハ整合設計方法、ウェハ接合構造およびチップ接合構造
US11084032B2 (en) Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
US12009247B2 (en) Heterogeneous integration of components onto compact devices using moiré based metrology and vacuum based pick-and-place
TW202236384A (zh) 晶圓接合對準的方法
US20230163013A1 (en) Processes and applications for catalyst influenced chemical etching
US20190378749A1 (en) Micro-vacuum module for semiconductor device transfer and method for transferring semiconductor device using the micro-vacuum module
US11192101B2 (en) Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
US20230245996A1 (en) Processes and applications for catalyst influenced chemical etching
Schulze et al. How to integrate MEMS on foundry-fabricated CMOS backplanes
Dede et al. Sougata Hazra1Ε, Chi Zhang1, Qianying Wu1, Mehdi Asheghi1, Kenneth Goodson1
Mignardi et al. Vitesse InP HBT foundry services with MOSIS
Hermans et al. CMORE: Fast Track From Lab to Fab

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230512

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230907

R150 Certificate of patent or registration of utility model

Ref document number: 7348062

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150