JP7282794B2 - 小型電子アセンブリ - Google Patents

小型電子アセンブリ Download PDF

Info

Publication number
JP7282794B2
JP7282794B2 JP2020545627A JP2020545627A JP7282794B2 JP 7282794 B2 JP7282794 B2 JP 7282794B2 JP 2020545627 A JP2020545627 A JP 2020545627A JP 2020545627 A JP2020545627 A JP 2020545627A JP 7282794 B2 JP7282794 B2 JP 7282794B2
Authority
JP
Japan
Prior art keywords
die
interconnect
conductive
dies
miniature electronic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020545627A
Other languages
English (en)
Other versions
JP2021526309A (ja
Inventor
エー. エルシェルビニ,アデル
イード,フェラス
エム. スワン,ジョアンナ
リフ,ショーナ
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2021526309A publication Critical patent/JP2021526309A/ja
Priority to JP2023059898A priority Critical patent/JP2023098916A/ja
Application granted granted Critical
Publication of JP7282794B2 publication Critical patent/JP7282794B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13105Gallium [Ga] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13113Bismuth [Bi] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13118Zinc [Zn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13199Material of the matrix
    • H01L2224/1329Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13338Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13344Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13338Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13347Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13338Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13355Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13399Coating material
    • H01L2224/134Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13438Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13439Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13399Coating material
    • H01L2224/134Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13438Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13399Coating material
    • H01L2224/1349Coating material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1712Layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1712Layout
    • H01L2224/1713Square or rectangular array
    • H01L2224/17134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/17135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1712Layout
    • H01L2224/1713Square or rectangular array
    • H01L2224/17134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/17136Covering only the central area of the surface to be connected, i.e. central arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1712Layout
    • H01L2224/17177Combinations of arrays with different layouts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/24146Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8185Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)
  • Wire Bonding (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Description

本願は、2018年6月14日に出願された、「小型電子アセンブリ」という名称の米国特許出願第16/008,879の優先権を主張するものである。この全内容は、本願の参照として取り入れられている。
従来、集積回路(IC)ダイは、機械的安定性のためパッケージ基板に結合され、回路基盤のような他の部材との接続が容易化される。従来の基盤により達成可能なインターコネクトピッチは、特に製造条件、材料、および熱に関する事項により制限を受ける。
添付図面を参照した以下の詳細な記載により、実施例は、容易に理解される。本記載を容易にするため、同様の参照符号は、同様の構造素子を表す。添付図面の図において、実施例は、一例として示されており、限定的なものではない。
各種実施例による小型電子アセンブリの一例の側断面図である。 各種実施例による、図1Aの小型電子アセンブリに含有されるダイの上面図である。 各種実施例による、図1Aの小型電子アセンブリに含有されるダイの底面図である。 各種実施例による、小型電子アセンブリにおける複数のダイの配置例の上面図である。 各種実施例による、図2Aの配置例に含まれるダイの上面図である。 各種実施例による、小型電子アセンブリの一例の側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図3の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、小型電子アセンブリの一例の側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、図5の小型電子アセンブリを製造する一方法における各種ステージの側断面図である。 各種実施例による、小型電子アセンブリの一例の側断面図である。 本願に記載の任意の実施例による小型電子アセンブリに含まれ得るウェハおよびダイの上面図である。 本願に記載の任意の実施例による小型電子アセンブリに含まれ得るIC装置の側断面図である。 本願に記載の任意の実施例による小型電子アセンブリに含まれ得るIC装置アセンブリの側断面図である。 本願に記載の任意の実施例による小型電子アセンブリに含まれ得る電気装置の一例のブロック図である。
小型電子アセンブリ、ならびにそれに関連する装置および方法が開示される。例えば、ある実施形態では、小型電子アセンブリは、第1の表面および対向する第2の表面を有する第1のダイであって、第1の誘電体層に埋設され、前記第1のダイの前記第1の表面は、第1のインターコネクトにより、パッケージ基板の表面に結合される、第1のダイと、第1の表面および対向する第2の表面を有する第2のダイであって、第2の誘電体層に埋設され、前記第2のダイの前記第1の表面は、第2のインターコネクトにより、前記第1のダイの前記第2の表面に結合される、第2のダイと、第1の表面および対向する第2の表面を有する第3のダイであって、第3の誘電体層に埋設され、前記第3のダイの前記第1の表面は、第3のインターコネクトにより、前記第2のダイの前記第2の表面に結合される、第3のダイと、を有してもよい。
マルチダイICパッケージにおける2または3以上のダイの間の多数の信号の通信は、特に、そのようなダイの顕著な小型化、熱の制約、および電力供給の制約等のため、難しい問題である。本願に記載の各種方法では、従来の対処法に比べて、低コスト、改善された電力効率、広いバンド幅、および/または大きな設計柔軟性で、複数のICダイの信頼性のある取り付けが達成される。本願に記載の各種小型電子アセンブリは、従来の対処法に比べて、パッケージサイズを低減させたまま、より良好な電力供給および信号速度を示し得る。本願に記載の小型電子アセンブリは、コンピュータ、タブレット、産業用ロボット、および民需電子機器(例えば携帯装置)における小型薄型の用途に、特に有意である。
以下の詳細な説明において、その一部を構成する添付図面が参照される。同様の参照符号は、全体を通じて同様の部品を表し、実施可能な実施例が一例により示されている。他の実施形態を利用してもよいことが理解される。本開示の範囲から逸脱しないで、構造上または論理上の変更がなされ得る。従って、以下の詳細な説明は、限定的な意味に捉えてはならない。
複数の別個の動作または操作として、各種動作が記載され、記載された主題を理解する上で、最も有益な態様で理解される。ただし、記載の順番は、これらの動作が必ずしも順番通りに実施されるものと解してはならない。特に、これらの動作は、記載の順番で実施されなくてもよい。記載の動作は、記載された実施例とは異なる順に実施されてもよい。各種追加の動作が実施され、および/または記載の動作は、追加の実施例において、省略されてもよい。
本開示において、「Aおよび/またはB」という文言は、(A)、(B)、または(AおよびB)を意味する。本開示において、「A、Bおよび/またはC」という文言は、(A)、(B)、(C)、(AおよびB)、(AおよびC)、(BおよびC)、または(A、BおよびC)を意味する。図面には、必ずしもスケールは示されていない。多くの図面に、平坦壁および直角のコーナー部を有する直線状構造が示されていても、これは、単に描写を容易にするためであり、これらの技術を用いて構成される実際の装置は、丸いコーナー部、表面の粗さ、および他の特徴を示してもよい。
記載内で使用される「実施例」、「複数の実施例」は、それぞれ、1または2以上の同じまたは異なる実施例を表し得る。また、本開示の実施形態に対して使用される、「有する」、「含む」、「備える」等の用語は、同意語である。本願において、「パッケージ」および「ICパッケージ」は同義語であり、「ダイ」および「ICダイ」も同様である。「上部」および「底部」という用語は、図面の各種特徴を説明する際に使用され得るが、これらの用語は、単に記載を容易にするためのものであり、所望のまたは必要な配向を表すものではない。本願に使用される「絶縁(性)」と言う用語は、特に記載がない限り、「電気的絶縁(性)」を意味する。
寸法の範囲を記載するために使用される「XとYの間」という用語は、XおよびYを含む範囲を表す。簡単のため、「図4」と言う用語は、図4A~4Iの図面の集合を表すために使用され、「図6」と言う用語は、図6A~6Fの図面の集合を表すために使用されてもよく、以下同様である。ある素子が単数形で示されていても、そのような素子は、複数のサブ素子を有してもよい。例えば、「絶縁材料」は、1または2以上の絶縁材料を含んでもよい。本願に使用される「導電性コンタクト」と言う用語は、異なる部材同士の間の電気的界面として機能する、導電性材料(例えば金属)の一部を表してもよい。導電性コンタクトは、部材の表面から陥凹していても、表面と同位置平面であっても、表面から延在してもよい。また、導電性コンタクトは、いかなる好適な形態(例えば、導電性パッドもしくはソケット、または導電性ラインもしくはビアの一部)を取ってもよい。
図1Aは、各種実施例による小型電子アセンブリ100の側断面図である。小型電子アセンブリ100は、多レベルのインターコネクトを有する多層ダイサブアセンブリ104に結合されたパッケージ基板102を有してもよい。本願に使用される「多層ダイサブアセンブリ」と言う用語は、各層に1または2以上のダイが埋設された、3以上の積層された誘電体層と、非隣接層にダイを含み、1または2以上のダイを接続する導電性インターコネクトおよび/または導電性経路と、を有する複合ダイを意味する。本願に使用される「多層ダイサブアセンブリ」および「複合ダイ」と言う用語は、相互交換可能に使用され得る。本願に使用される「多レベルインターコネクト」と言う用語は、第1の部材と第2の部材の間のインターコネクトを表し、ここで第1の部材と第2の部材は、隣接層ではなく、あるいは1または2以上の層(例えば、第1の層における第1のダイと、第3の層における第2のダイの間におけるインターコネクト、あるいはパッケージ基板と第2の層におけるダイの間のインターコネクト)の橋渡しをするインターコネクトを表す。図1Aに示すように、多層ダイサブアセンブリ104は、3つの層を含んでもよい。特に、多層ダイサブアセンブリ104は、ダイ114-1およびダイ114-4を有する第1の層104-1と、ダイ114-2を有する第2の層104-2と、ダイ114-3、ダイ114-5、およびダイ114-6を有するおよび第3の層104-3と、を有してもよい。第1の層104-1におけるダイ114-1は、ダイ-パッケージ基板(DTPS)インターコネクト150-1により、パッケージ基板102に結合され、ダイ-ダイ(DTD)インターコネクト130-1により、第2の層104-2におけるダイ114-2に結合され、マルチレベル(ML)インターコネクト152により、第3の層104-3におけるダイ114-3に結合されてもよい。パッケージ基板102の上部表面は、一組の導電性コンタクト146を有してもよい。ダイ114-1、114-2、および114-4は、ダイの底部表面に一組の導電性コンタクト122を有し、ダイの上部表面に一組の導電性コンタクト124を有してもよい。ダイ114-3、114-5、114-6は、ダイの底部表面に、一組の導電性コンタクト122を有してもよい。ダイ114-1に示すように、ダイ114-1の底部表面における導電性コンタクト122は、DTPSインターコネクト150-1により、パッケージ基板102の上部表面において、導電性コンタクト146に電気的および機械的に結合される。ダイ114-1の上部表面における導電性コンタクト124は、ダイ114-2の底部表面において、DTDインターコネクト130-1により、電気的および機械的に導電性コンタクト122に結合され、さらに、MLインターコネクト152により、ダイ114-3の底部表面における導電性コンタクト122に電気的および機械的に結合されてもよい。ダイ114-4に示すように、ダイ114-4の底部表面における導電性コンタクト122は、DTPSインターコネクト150-1により、パッケージ基板102の上部表面で、導電性コンタクト146と電気的および機械的に結合されてもよい。ダイ114-4の上部表面における導電性コンタクト124は、DTDインターコネクト130-1により、ダイ114-2の底部表面における導電性コンタクト122と電気的および機械的に結合されてもよい。さらに、MLインターコネクト152により、ダイ114-5の底部表面における導電性コンタクト122と電気的および機械的に結合されてもよい。ダイ114-2に示されているように、ダイ114-2の底部表面における導電性コンタクト122は、MLインターコネクト152により、パッケージ基板102の上部表面における導電性コンタクト146と電気的および機械的に結合され、DTDインターコネクト130-1により、ダイ114-1および114-4の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。ダイ114-2の上部表面における導電性コンタクト124は、DTDインターコネクト130-1および130-2により、それぞれ、ダイ114-3、114-5および114-6の底部表面における導電性コンタクト122と電気的および機械的に結合されてもよい。ダイ114-3に示すように、ダイ114-3の底部表面における導電性コンタクト122は、さらに、MLインターコネクト152により、ダイ114-2の上部表面における導電性コンタクト124、およびパッケージ基板の上部表面における導電性コンタクト146と、電気的および機械的に結合されてもよい。ダイ114-5に示すように、ダイ114-5の底部表面における導電性コンタクト122は、さらに、MLインターコネクト152により、ダイ114-4の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。ダイ114-6に示すように、ダイ114-6の底部表面における導電性コンタクト122は、DTDインターコネクト130-2により、ダイ114-2の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。
MLインターコネクト152は、銅、銀、ニッケル、金、アルミニウム、または他の金属もしくは合金のような、いかなる好適な導電性材料で形成されてもよい。MLインターコネクト152は、例えば、図4を参照して示す方法を含む、いかなる好適なプロセスを用いて形成されてもよい。ある実施形態では、記載されたMLインターコネクト152は、100μmと300μmの間のピッチを有してもよい。MLインターコネクト152は、多層ダイサブアセンブリ104の1または2以上のダイ114の間、および/または1または2以上のダイ114とパッケージ基板102との間に、より直接的な導電経路を提供してもよい。MLインターコネクトのより直接的な接続(すなわち、より短い導電性経路)は、バンド幅を高めること、抵抗を抑制すること、寄生性を抑制すること、および/またはパッケージ基板102から1または2以上のダイ114に、電力をより効率的に供給することにより、小型電子アセンブリの特性を改善する。
ある実施形態では、パッケージ基板102は、リソグラフィー的に定められたビアパッケージプロセスを用いて形成されてもよい。ある実施形態では、パッケージ基板102は、標準的な有機パッケージ製造プロセスを用いて製造され、従って、パッケージ基板102は、有機パッケージの形態を有してもよい。ある実施形態では、パッケージ基板102は、誘電体材料へのラミネートまたはスピン法により、パネルキャリア(例えば図5に示されている)上に形成された、一組の再分配層であり、レーザ穴開けおよびめっきにより、導電性ビアおよび配線が形成される。ある実施形態では、パッケージ基板102は、再分配層技術のような、任意の好適な技術を用いて、除去可能なキャリアの上に形成されてもよい。パッケージ基板102の製造に関するいかなる既知の方法が使用されてもよい。簡略化のため、そのような方法は、詳しくは示されていない。
ある実施形態では、パッケージ基板102は、低密度媒体であり、ダイ114(例えばダイ114-4)は、高密度媒体であり、または高密度媒体の領域を有してもよい。本願において、「低密度」および「高密度」と言う用語は、相対的な用語であり、低密度の導電性経路(例えば、導電性インターコネクト、導電性配線、および導電性ビアを含む)は、高密度媒体の導電性経路よりも、大きなピッチを有し、および/またはピッチが大きいことを意味する。ある実施形態では、高密度媒体は、変更された半付加プロセス、または改良されたリソグラフィーの半付加構築プロセス(進化したレーザまたはリソグラフィー法により形成された、小さな垂直インターコネクト特徴部を有する)を用いて、製造されてもよい。一方、低密度媒体は、標準的な印刷回路基盤(PCB)プロセス(例えば、エッチング化学物質を用いて好ましくない銅の領域を除去する、標準的な減算プロセス、および標準的なレーザプロセスにより形成される、粗い垂直インターコネクト特徴部を有するプロセス)を用いて製造された、印刷回路基盤(PCB)であってもよい。他の実施形態では、高密度媒体は、単一ダマシンプロセス、またはジュアルダマシンプロセスのような、半導体製造プロセスを用いて製造されてもよい。
図1に示すように、ダイ114-1のDTPSインターコネクト150-1は、ダイ114-4のDTPSインターコネクト150-2とは異なるピッチを有してもよい。ある実施形態では、ダイ114-4に示すように、DTPSインターコネクト150は、同じダイ上に異なるピッチを有してもよい。例えば、ダイ114-4のDTPSインターコネクト150-1は、ダイ114-4のDTPSインターコネクト150-2とは異なるピッチを有してもよい。別の例では、上部表面のダイ114-2は、DTDインターコネクト130-1を有し、これは、同じ表面におけるDTDインターコネクト130-2とは異なるピッチを有してもよい。同じ表面に異なるピッチのインターコネクト130を有するダイ114は、混合ピッチダイ114と称される。ある実施形態では、DTDインターコネクトは、5μmと200μmの間(例えば、5μmと100μmの間)のピッチを有してもよい。ある実施形態では、DTDインターコネクトは、200μmと800μmの間(例えば300μmと600μmの間)のピッチを有してもよい。
図1Aでは、ダイ114-1、114-2、および114-4は、ダブルサイドのダイとして、ダイ114-3、114-5、および114-6は、シングルサイドのダイとして示されているが、ダイ114は、シングルサイド、またはダブルサイドのダイであってもよく、シングルピッチダイ、または混合ピッチダイであってもよい。ある実施形態では、ダイ114-3、114-5、および/または114-6の上部表面に、追加の部材が配置されてもよい。表面取付レジスタ、キャパシタ、および/またはインダクタのような、追加のパッシブ部材が、パッケージ基板102の上部表面もしくは底部表面に配置され、あるいはパッケージ基板102に埋設されてもよい。本内容において、ダブルサイドダイは、両方の表面に接続部を有するダイを表す。ある実施形態では、ダブルサイドダイは、貫通シリコンビア(TSV)を有し、両方の表面に接続部を形成してもよい。1または2以上の活性装置および主要なインターコネクトを有する表面である、ダブルサイドダイの活性表面は、設計および電気的仕様に応じて、いずれの方向に面してもよい。
図1Aでは、ダイ114は、特定の配置で示されているが、ダイ114は、いかなる好適な配置であってもよい。例えば、第3の層104-3からのダイ114-3は、オーバーラップ距離191だけ、第1の層104-1のダイ114-1を覆うように延在し、オーバーラップ距離193だけ、第2の層104-2のダイ114-2を覆うように延在してもよい。オーバーラップ距離191、193は、いかなる好適な距離であってもよい。ある実施形態では、オーバーラップ距離191は、0.5mmと50mmの間(例えば0.75mmと20mmの間、または約10mm)であってもよい。ある実施形態では、オーバーラップ距離193は、0.25mmと5mmの間であってもよい。
図1Bには、図1Aの小型電子アセンブリ100のダイ114-2の上面図を示す。「粗い」導電性コンタクト124-1と、「細かい」導電性コンタクト124-2とが示されている。小型電子アセンブリ100のダイ114-2は、シングルサイドのダイ(ダイ114-2のみが、単一表面に導電性コンタクトを有するという意味)であり、あるいは、図に示すように、ダブルサイドダイ(ダイ114-2は、2つの表面(例えば上部表面および底部表面)に導電性コンタクト122、124を有するという意味)であり、あるいは、混合ピッチダイ(ダイ114-2は、異なるピッチで、導電性コンタクト124-1、124-2の組を有する意味)であってもよい。図1Bでは、長方形アレイに配置された導電性コンタクト124-1、124-2が示されているが、導電性コンタクト124-1、124-2は、いかなる好適なパターンで配置されてもよい(例えば、三角形、六角形、長方形、導電性コンタクト124-1、124-2の間で異なる配置、等)。示された導電性コンタクト(例えば、導電性コンタクト122、124、および/または146)は、例えば、結合パッド、はんだバンプ、導電性ポスト、または他の任意の好適な導電性コンタクトを有してもよい。
図1Cには、図1Aの小型電子アセンブリ100のダイ114-2の底面図を示す。「粗い」導電性コンタクト122-1と、「細かい」導電性コンタクト122-2とが示されている。小型電子アセンブリ100のダイ114-2は、図に示すように、ダブルサイドダイであり、またはシングルサイドダイであり、または混合ピッチダイであり、あるいは単一ピッチのダイであってもよい。図1Cには、長方形アレイで配置された導電性コンタクト122-1、122-2が示されているが、導電性コンタクト122-1、122-2は、いかなる好適なパターンで配置されてもよい(例えば、三角形、六角形、長方形、導電性コンタクト122-1、122-2の間で異なる配置、等)。
前述のように、図1Aの実施形態では、ダイ114-1は、小型電子アセンブリ100の局所領域に、高密度のインターコネクト経路を提供してもよい。ある実施形態では、ダイ114-1の存在は、パッケージ基板102に直接完全に取り付けることができない、微細ピッチの半導体ダイ(例えば、ダイ114-2、114-3、114-5)の直接的なチップ取り付けを支援する。特に、前述のように、ダイ114-1は、パッケージ基板102に達成不可能なトレース幅および間隔を支持し得る。着用性または形態電子機器の広がりは、物のインターネット(IoT)適用とともに、電子システムのサイズの減少につながるが、PCB製造プロセスの限界、および使用中の熱膨張という機械的な結果は、微細インターコネクトピッチを有するチップがPCBに直接取り付けられないことを意味する。本願に記載の小型電子アセンブリ100の各種実施例では、特性および製造性を犠牲にすることなく、高密度インターコネクトを有するチップ、および低密度インターコネクトを有するチップを支持することが可能となる。
また、図1Aの小型電子アセンブリ100は、回路基盤(図示されていない)を有してもよい。パッケージ基板102は、パッケージ基板102の底部表面で、第2レベルのインターコネクトにより、回路基盤に結合される。第2レベルのインターコネクトは、いかなる好適な第2レベルのインターコネクトであってもよく、これには、ボールグリッドアレイ配置用のはんだボール、ピングリッドアレイ配置におけるピンまたはランドグリッドアレイ配置におけるランドが含まれる。回路基盤は、例えばマザーボードであってもよく、取り付けられた他の部材を有してもよい。回路基盤は、従来から知られる、回路基盤を介した電力経路、グラウンド、および信号用の、導電性経路および他の導電性コンタクトを含んでもよい。ある実施形態では、第2レベルのインターコネクトは、パッケージ基板102と回路基盤を結合せず、代わりに、パッケージ基板102を、別のICパッケージ、インターポーザ、または他の任意の好適な部材に結合してもよい。ある実施形態では、多層ダイサブアセンブリは、パッケージ基板102とは結合されず、代わりにPCBのような回路基盤と結合されてもよい。
また、図1Aの小型電子アセンブリ100は、アンダーフィル材料127を有してもよい。ある実施形態では、アンダーフィル材料127は、関連するDTPSインターコネクト150の周囲で、1または2以上のダイ114とパッケージ基板102の間に延在してもよい。ある実施形態では、アンダーフィル材料127は、関連するDTDインターコネクト130の周囲で、異なるダイ114同士の間に延在してもよい。アンダーフィル材料127は、好適なエポキシ材料のような、絶縁材料であってもよい。ある実施形態では、アンダーフィル材料127は、キャピラリアンダーフィル、非導電性膜(NCF)、またはモールドされたアンダーフィル材料を含んでもよい。ある実施形態では、アンダーフィル材料127は、DTPSインターコネクト150-1、150-2を形成する際に、ダイ114-1、114-4をパッケージ基板102にはんだ付けすることを支援するエポキシフラックスを有してもよい。その後、重合され、DTPSインターコネクト150-1、150-2が被覆される。アンダーフィル材料127は、小型電子アセンブリ100において、不均衡な熱膨張により生じるダイ114とパッケージ基板102の間の応力を軽減または最小化する、熱膨張係数(CTE)を有するように選定されてもよい。ある実施形態では、アンダーフィル材料127のCTEは、パッケージ基板102のCTE(例えば、パッケージ基板102の誘電体材料のCTE)とダイ114のCTEの中間の値を有してもよい。
本願に記載のDTPSインターコネクト150は、いかなる好適な形態を有してもよい。ある実施形態では、一組のDTPSインターコネクト150は、はんだを有してもよい(例えば、DTPSインターコネクト150を形成する熱リフローに晒されるはんだバンプまたはボール)。はんだを含むDTPSインターコネクト150は、鉛/スズ、スズ/ビスマス、共晶スズ/銀、三次元スズ/銀/銅、共晶スズ/銅、スズ/ニッケル/銅、スズ/ビスマス/銅、スズ/インジウム/銅、スズ/亜鉛/インジウム/ビスマス、または他の合金を含む、いかなる好適なはんだ材料を有してもよい。ある実施形態では、DTPSインターコネクト150の組は、異方性導電性膜または異方性導電性ペーストのような、異方性導電性材料を有してもよい。異方性導電性材料は、非導電性材料中に分散された導電性材料を含んでもよい。ある実施形態では、異方性導電性材料は、バインダまたは熱硬化性接着フィルム(例えば、熱硬化性ビフェニルタイプのエポキシ樹脂、またはアクリル系の材料)に埋設された、微細な導電性粒子を含んでもよい。ある実施形態では、導電性粒子は、ポリマーおよび/または1もしくは2以上の金属(例えばニッケルまたは金)を有してもよい。例えば、導電性粒子は、ニッケルコート金、または銀コート銅を有し、これらはポリマーでコーティングされてもよい。別の例では、導電性粒子は、ニッケルを含んでもよい。異方性導電性材料が非圧縮の場合、材料の片側から他方への導電性経路が存在しなくてもよい。ただし、(例えば、異方性導電性材料のいずれかの側における導電性コンタクトにより)異方性導電性材料が適切に圧縮されると、圧縮領域の近傍の導電性材料が相互に接触し、圧縮領域において、膜の片側から他方に導電性経路が形成されてもよい。
本願に記載のDTDインターコネクト130は、いかなる好適な形態を取ってもよい。DTDインターコネクト130は、小型電子アセンブリにおけるDTPSインターコネクト150よりも小さなピッチを有してもよい。ある実施形態では、一組のDTDインターコネクト130のいずれかの側におけるダイ114は、未パッケージダイであり、および/またはDTDインターコネクト130は、はんだにより、導電性コンタクト124に取り付けられた小さな導電性バンプ(例えば銅バンプ)を有してもよい。DTDインターコネクト130は、極めて微細なピッチを有し、パッケージ基板102に直接結合されてもよい(例えば、DTPSインターコネクト150として機能するため、十分に小さい)。ある実施形態では、一組のDTDインターコネクト130は、はんだを含んでもよい。はんだを含むDTDインターコネクト130は、前述の任意の材料のような、いかなる好適なはんだ材料を有してもよい。ある実施形態では、一組のDTDインターコネクト130は、前述の任意の材料のような、異方性導電性材料を有してもよい。ある実施形態では、DTDインターコネクト130は、データ転送レーンとして使用される一方、DTPSインターコネクト150は、特に電力およびグラウンドラインとして使用されてもよい。
ある実施形態では、小型電子アセンブリ100におけるDTDインターコネクト130の一部または全てが、金属-金属インターコネクト(例えば、銅-銅インターコネクト、またはめっきインターコネクト)であってもよい。そのような実施形態では、DTDインターコネクト130のいずれかの側の導電性コンタクト122、124は、介在するはんだまたは異方性導電性材料を使用せずに、相互に結合されてもよい(例えば、高圧および/または高温下)。ある実施形態では、金属-金属インターコネクトに、薄いはんだのキャップが使用され、平坦性が提供されてもよい。このはんだは、処理の間、合金化合物であってもよい。ハイブリッド結合を用いるある金属-金属インターコネクトでは、金属結合同士の間(例えば、関連の導電性コンタクト124を提供する銅パッドまたはポストの間)に、誘電体材料(例えば、ケイ素酸化物、ケイ素窒化物、ケイ素炭化物、または有機層)が存在し得る。ある実施形態では、DTDインターコネクト130の片側は、金属ピラー(例えば銅ピラー)を有し、DTDインターコネクトの他の側は、誘電体に陥凹された金属コンタクト(例えば、銅コンタクト)を有してもよい。ある実施形態では、金属-金属インターコネクト(例えば、銅-銅インターコネクト)は、貴金属(例えば金)、または酸化物が導電性の金属(例えば、銀)を含んでもよい。ある実施形態では、金属-金属インターコネクトは、金属ナノ構造(例えばナノロッド)を有し、低下された融点を有してもよい。金属-金属インターコネクトは、他の種類のインターコネクトよりも、信頼性のある高電流伝導が可能であってもよい。例えば、あるはんだインターコネクトは、通電の際に、もろい合金化合物を形成し、そのようなインターコネクトに提供される最大電流は、機械的不具合を軽減するために抑制される。
ある実施形態では、小型電子アセンブリ100におけるDTDインターコネクト130の一部または全てがはんだインターコネクトであり、DTPSインターコネクト150の一部または全部に含まれるはんだよりも高融点のはんだを含んでもよい。例えば、小型電子アセンブリ100におけるDTDインターコネクト130は、DTPSインターコネクト150が形成される前に形成され(例えば、以下図4を参照して説明する)、はんだベースのDTDインターコネクト130には、高温はんだ(例えば、融点が200℃超)が使用され、DTPSインターコネクト150には、低融点はんだ(例えば、融点が200℃未満)が使用されてもよい。ある実施形態では、高温はんだは、スズ、スズと金、またはスズ、銀、と銅(例えば、96.5%スズ、3%銀、0.5%銅)を含んでもよい。ある実施形態では、低融点はんだは、スズとビスマス(例えば共晶スズビスマス)、またはスズと銀とビスマスを含んでもよい。ある実施形態では、低融点はんだは、インジウム、インジウムとスズ、またはガリウムを含んでもよい。
記載された小型電子アセンブリ100において、DTPSインターコネクト150の一部または全部は、DTDインターコネクト130の一部または全部よりも大きなピッチを有してもよい。一組のDTPSインターコネクト150のいずれかの側におけるパッケージ基板102とダイ114の間よりも、一組のDTDインターコネクト130のいずれかの側の異なるダイ114における材料の類似性を高めるため、DTDインターコネクト130は、DTPSインターコネクト150よりも小さなピッチを有してもよい。特に、ダイ114とパッケージ基板102の材料組成の差異は、動作中に生じる熱(および各種製造動作中に印加される熱)のため、ダイ114とパッケージ基板102の異なる膨張および収縮につながる。この異なる膨張および収縮により生じる損傷(例えば、クラック、はんだブリッジ等)を軽減するため、DTPSインターコネクト150は、DTDインターコネクト130よりも大きく、遠く離して形成されてもよい。これにより、DTDインターコネクトのいずれかの側におけるダイ114の組の大きな材料の類似性のため、熱応力が軽減される。ある実施形態では、本願に記載のDTPSインターコネクト150は、80μmと300μmの間のピッチを有し、本願に記載のDTDインターコネクト130は、7μmと100μmの間のピッチを有してもよい。
図1Aには、特定の数のDTPSインターコネクト150、DTDインターコネクト130、およびMLインターコネクト152の配置が示されているが、これらは、単なる一例であり、いかなる好適な数および配置が使用されてもよい。記載されたインターコネクト(例えば、DTPS、DTD、およびMLインターコネクト)は、例えば、銅、銀、ニッケル、金、アルミニウム、または他の金属もしくは合金のような、いかなる好適な導電性材料で形成されてもよい。
多層ダイサブアセンブリ104は、絶縁材料(例えば、従来から知られる複数の層で形成された誘電体材料)を含み、複数の層が形成され、層内に、1または2以上のダイが埋設されてもよい。ある実施形態では、多層ダイサブアセンブリの絶縁材料は、有機誘電体材料、防火グレード4の材料(FR-4)、ビスマレイミドトリアジン(BT)樹脂、ポリイミド材料、ガラス強化エポキシマトリクス材料、またはlow-kおよび超low-k誘電体(例えば、炭素ドープ誘電体、フッ素ドープ誘電体、多孔質誘電体、および有機重合誘電体)のような、誘電体材料であってもよい。多層ダイサブアセンブリ104は、誘電体材料を貫通する1または2以上のMLインターコネクトを有してもよい(例えば、示された導電性ビアおよび/または導電性ピラーを含む)。多層ダイサブアセンブリ104は、いかなる好適な寸法を有してもよい。例えば、ある実施形態では、多層ダイサブアセンブリ104の厚さは、100μmと2000μmの間であってもよい。多層ダイサブアセンブリ104は、いかなる好適な層数、好適なダイの数、および好適なダイ配置を有してもよい。例えば、ある実施形態では、多層ダイサブアセンブリ104は、ダイの3と20の間の層を有してもよい。ある実施形態では、多層ダイサブアセンブリ104は、2と10の間のダイを有する層を含んでもよい。
パッケージ基板102は、絶縁性材料(例えば、従来より知られる複数の層で形成された誘電体材料)と、誘電体材料を貫通する1または2以上の導電性経路とを有してもよい(例えば、示されているような導電性トレースおよび/または導電性ビア)。ある実施形態では、パッケージ基板102の絶縁材料は、有機誘電体材料、防火グレード4の材料(FR-4)、BT樹脂、ポリイミド材料、ガラス強化エポキシマトリクス材料、無機フィラーを有する有機誘電体、またはlow-kおよび超low-k誘電体(例えば、炭素ドープ誘電体、フッ素ドープ誘電体、多孔質誘電体、および有機重合誘電体)のような、誘電体材料であってもよい。特に、パッケージ基板102が標準的なPCBプロセスを用いて形成される場合、パッケージ基板102は、FR-4を有し、パッケージ基板102における導電性経路は、FR-4のビルドアップ層により分離された、銅のパターン化シートにより形成されてもよい。パッケージ基板102の導電性経路は、接着剤ライナおよび/またはバリアライナのような、好適なライナ材料により境界化されてもよい。
本願に記載のダイ114は、絶縁材料(例えば、従来から知られる複数の層で構成された誘電体材料)と、絶縁材料を貫通して形成された複数の導電性経路とを有してもよい。ある実施形態では、ダイ114の絶縁材料は、二酸化ケイ素、窒化ケイ素、酸窒化物、ポリイミド材料、ガラス強化エポキシマトリクス材料、またはlow-kもしくは超low-k誘電体(例えば、炭素ドープ誘電体、フッ素ドープ誘電体、多孔質誘電体、および有機重合誘電体、フォトイメージ誘電体、および/またはベンゾシクロブテン系ポリマー)のような、誘電体材料を含んでもよい。ある実施形態では、ダイ114の絶縁材料は、ケイ素、ゲルマニウム、またはIII-V族材料(例えばガリウム窒化物)のような半導体材料、および1または2以上の追加材料を含んでもよい。例えば、絶縁材料は、ケイ素酸化物またはケイ素窒化物を含んでもよい。ダイ114における導電性経路は、導電性トレースおよび/または導電性ビアを有し、任意の好適な方法(例えば、ダイ114の同じ表面、または異なる表面における、複数の導電性コンタクトの接続)で、ダイ114における任意の導電性コンタクトを接続してもよい。ダイ114に含まれる構造の例は、図9を参照して以下に示されている。ダイ114における導電性経路は、接着ライナおよび/またはバリアライナのようなライナ材料により、好適に境界化されてもよい。
ある実施形態では、ダイ114-1および/または114-4は、導電性経路を有し、電力、グラウンド、および/または信号を、小型電子アセンブリ100に含まれる他のダイ114の一部に、および/または一部から、送信してもよい。例えば、ダイ114-1、114-4は、バリア酸化物により、周囲シリコンまたは他の半導体材料から絶縁された、金属ビアのような導電性材料ビアを含むTSVを含んでもよい。または、ダイ114-1、114-4(例えば、図1Aの実施例では、ダイ114-2、ダイ114-3、ダイ114-5および/またはダイ114-6)の「上部に」(例えば、1または2以上の上部層に)電力、グラウンド、および/または信号が、パッケージ基板102と1または2以上のダイ114の間に伝送される、他の導電性経路を有してもよい。ある実施形態では、ダイ114-1、114-4は、電力、グラウンド、および/または信号を、ダイ114-1、114-4の「上部における」異なるダイ114の間に伝送する、導電性経路を有してもよい(例えば、図1Aの実施例では、ダイ114-2、ダイ114-3、ダイ114-5、および/またはダイ114-6)。ある実施形態では、ダイ114-1、114-4は、小型電子アセンブリ100に含まれるダイ114-1、114-4、および他のダイ114の間に転送される信号のソースおよび/または目的地であってもよい。
ある実施形態では、ダイ114-1は、電力および/またはグラウンドをダイ114-2に伝送しなくてもよい。代わりに、ダイ114-2は、MLインターコネクト152により、パッケージ基板102における電力および/またはグラウンドラインに直接結合してもよい。MLインターコネクト152を介して、ダイ114-2が直接、パッケージ基板102における電力および/またはグラウンドラインに結合されることにより、そのような電力および/またはグラウンドラインは、ダイ114-1を介して伝送される必要がなくなり、ダイ114-1を小さくし、またはより多くの信号経路または活性回路を含むことができる。
ある実施形態では、ダイ114-1、114-4は、導電性経路のみを含み、アクティブまたはパッシブな回路を有さない。別の実施例では、ダイ114-1、114-4は、アクティブまたはパッシブな回路を有してもよい(例えば、特にトランジスタ、ダイオード、レジスタ、インダクタ、およびキャパシタ)。ある実施形態では、ダイ114-1、114-4は、トランジスタ(例えば、以下に図9を参照して示す)を含む1または2以上の装置層を有してもよい。ダイ114-1、114-4が活性回路を含む場合、電力および/またはグラウンド信号は、パッケージ基板102を介して伝送され、ダイ114-1、114-4の底部表面における導電性コンタクト122を介して、ダイ114-1、114-4に伝送されてもよい。
小型電子アセンブリ100の素子は、いかなる好適な寸法を有してもよい。添付図面のサブセットのみに、寸法を表す参照符号が付されているが、これは、図の明確化のためであり、本願における任意の小型電子アセンブリ100は、本願に記載の寸法を有する部材を有してもよい。ある実施形態では、パッケージ基板102の厚さ164は、0.1mmと3mmの間であってもよい(例えば、0.3mmと2mmの間、0.25mmと0.8mmの間、または約1mm)。
図1Aの小型電子アセンブリ100の多くの素子は、添付図面の他の物に含まれている。これらの図を説明する際に、これらの素子の記載は、繰り返されず、任意のこれらの素子は、本願に記載の任意の形態を取り得る。ある実施形態では、小型電子アセンブリ100の個々のものは、異なる機能を有する複数のダイ114が含まれる、システムインパッケージ(SiP)として機能してもよい。そのような実施形態では、小型電子アセンブリ100は、SiPと称され得る。
図2Aには、複数のダイ114Aが中央のダイ114Bの下方に配置され、複数のダイ114Cが中央ダイ114Bの上方に配置され、ダイ114Dが中央ダイ114Bの上方に完全に配置された配置を示す(例えば、ダイ114-6を参照して記載された態様)。ダイ114は、同じダイであり、または異なるダイであり、任意の好適な回路を有してもよい。例えば、ある実施形態では、ダイ114A、114C、114Dは、アクティブまたはパッシブなダイであり、ダイ114Bは、入力/出力回路、高バンド幅メモリ、および/または増強ダイナミックランダムアクセスメモリ(EDRAM)を有してもよい。ダイ114Aは、ダイ114-1を参照して示した任意の態様で、パッケージ基板102(図示されていない)に接続され、記載された任意のDTDインターコネクトにより、中央ダイ114Bに接続されてもよい。ダイ114Cおよび114Dは、記載された任意のDTDインターコネクトにより、中央ダイ114Bに接続されてもよい。図2Aでは、ダイ114は、隣接するダイ114Cの端部205および/またはコーナー部207と「オーバーラップ」する。少なくとも一部がダイ114Cと重なるようにダイ114Aを配置することにより、経路の密集が低減され、記載された任意のMLインターコネクトによりダイ114Aをダイ114Cに接続することにより、ダイの利用性が改善される。ダイ114A、114C、および114Dは、シングルサイドダイ、またはダブルサイドダイであり、シングルピッチダイまたは混合ピッチダイであってもよい。
図2Bは、ダイ114Bの上面図である。「粗い」導電性コンタクト124-3と、「微細な」導電性コンタクト124-4とを有する混合ピッチダイが示されており、微細な導電性コンタクト124-4は、粗い導電性コンタクト124-3を取り囲む(framing)。図2Aには、多層ダイの配置と、ダイの表面上の導電性コンタクトの配置が示されているが、これらの配置は単なる一例であり、いかなる好適な配置を使用してもよい。
図1Aの実施例では、多層ダイサブアセンブリ104は、3つの層を有するものとして示されている。小型電子アセンブリ100のある実施形態では、多層ダイサブアセンブリ104は、4層以上を有してもよい。例えば、図3には、多層ダイサブアセンブリ104が4つの層104-1、104-2、104-3、104-4を有する、小型電子アセンブリ100の実施例を示す。前述の図1Aに示したように、第1の層104-1は、ダイ114-1およびダイ114-4を含み、第2の層104-2は、ダイ114-2を含んでもよい。図1Aを参照して示したように、第3の層104-3は、ダイ114-3および114-5を含んでもよい。これは、さらに、ダイ114-3、114-5の上部表面に導電性コンタクト124を有し、ダイ114-6は省略されてもよい。第4の層104-4は、ダイ114-7、ダイ114-8、ダイ114-9を有してもよい。ダイ114-7、114-8、114-9は、ダイ114-7、114-8、114-9の底部表面に導電性コンタクト122を有してもよい。ダイ114-7に示すように、ダイ114-7の底部表面における導電性コンタクト122は、DTDインターコネクト130-1、130-2により、ダイ114-3の上部表面における導電性コンタクト124に電気的および機械的に結合されてもよい。ダイ114-8に示すように、ダイ114-8の底部表面における導電性コンタクト122は、MLインターコネクト152により、ダイ114-2の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。ダイ114-9に示すように、ダイ114-9の底部表面における導電性コンタクト122は、DTDインターコネクト130-1、130-2により、ダイ114-5の上部表面における導電性コンタクト124と電気的および機械的結合され、MLインターコネクト152により、ダイ114-4の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。
いかなる好適な技術を用いて、小型電子アセンブリが製造されてもよい。例えば、図4A乃至4Iは、各種実施例による、図3の小型電子アセンブリ100の製造方法の一例における、各種段階の側断面図である。図4A乃至4I(および製造方法を示す他の添付図面)を参照して以下に記載された動作は、特定の順番で示されているが、これらの動作は、いかなる好適な順番で実施されてもよい。また、図4A乃至4I(および製造方法を示す他の添付図面)には、特定のアセンブリが示されているが、図4Aに乃至4Iを参照して以下に示す動作には、いかなる好適なアセンブリが使用されてもよい。ある実施形態では、図4A乃至4Iに従って製造される小型電子アセンブリ100(例えば、記載された任意の小型電子アセンブリ100)は、はんだインターコネクトであるDTPSインターコネクト150と、非はんだインターコネクトであるDTDインターコネクト130(例えば、金属-金属インターコネクト、または異方性導電性材料インターコネクト)とを有してもよい。図4A乃至4Iの実施例では、ダイ114は、まず複合ダイに組み立てられ、次に複合ダイがパッケージ基板102に結合されてもよい。この方法は、DTDインターコネクト130の形成において、厳しい許容誤差が可能となり、比較的小さなダイ114、および3以上の層を有する複合ダイに特に望ましい。
図4Aには、キャリア402の上部表面に導電性ピラー434を形成した後の、キャリア402を有する組立体400Aを示す。キャリア402は、製造動作の間、機械的安定性を提供する、いかなる好適な材料を有してもよい。導電性ピラー434は、1または2以上の過疎領域455を形成するように配置され、この領域には、導電性ピラー434は存在しない。導電性ピラー434は、記載された任意の実施例の形態を取ってもよく、例えば、コールドスプレーまたは3D印刷法のような、リソグラフィープロセスまたは付加プロセスなど、いかなる好適な技術を用いて形成されてもよい。例えば、導電性ピラー434は、キャリア402の上部表面へのフォトレジスト層の成膜、露光、および現像により形成されてもよい。フォトレジスト層は、パターン化され、導電性ピラーの形状のキャビティが形成されてもよい。パターン化フォトレジスト層の開口に、銅のような導電性材料が成膜され、導電性ピラー434が形成されてもよい。導電性材料は、電気めっき、スパッタリング、または無電解めっきのような、いかなる好適なプロセスを用いて成膜されてもよい。フォトレジスト層が除去され、導電性ピラー434が露出されてもよい。導電性ピラー434は、例えば、銅のような金属など、いかなる好適な導電性材料を有してもよい。導電性ピラー434は、層中の最も厚いダイとほぼ等しい厚さを有するように形成されてもよい。ある実施形態では、ダイ114-1、114-4は、同じ厚さを有してもよい。ある実施形態では、ダイ114-1、114-4は、異なる厚さを有し、導電性ピラーは、大きい方の厚さと等しい厚さを有してもよい(例えば図5参照)。ある実施形態では、フォトレジスト材料および導電性材料を成膜する前に、キャリアの上部表面に、シード層483が形成されてもよい。シード層483は、銅を含む、いかなる好適な導電性材料であっても良い。シード層483は、特に化学エッチングを含む、任意の好適なプロセスを用いて、フォトレジスト層の除去後に除去されてもよい。ある実施形態では、シード層は、省略されてもよい。
導電性ピラー434は、金属のような、いかなる好適な導電性材料で形成されてもよい。ある実施形態では、導電性ピラー434は、銅を含んでもよい。導電性ピラー434は、任意の好適な寸法を有し、1または2以上の層にまたがり、MLインターコネクトが形成されてもよい。例えば、ある実施形態では、個々の導電性ピラー434は、1:1と4:1の間のアスペクト比(高さ:直径)(例えば1:1と3:1の間)を有してもよい。ある実施形態では、個々の導電性ピラー434は、10μmと300μmの間の直径を有してもよい。ある実施形態では、個々の導電性ピラー434は、50μmと400μmの間の直径を有してもよい。ある実施形態では、銅ピラーは、10μmと300μmの間の高さを有してもよい。導電性ピラーは、任意の好適な断面形状を有し、例えば、正方形、三角形、および楕円であってもよい。ある実施形態では、熱伝導のため、導電性ピラーは、ダイ114の上部表面に結合されてもよい。
図4Bには、組立体400A(図4A)の過疎領域455に、ダイ114-1、114-4を配置した後のアセンブリ400Bを示す。ダイ114は、ダイ取り付け膜(DAF)のような、任意の好適な技術を用いて、キャリア402上に配置されてもよい。ダイ114は、ダイ114上に、非電気材料層(図示されていない)またはキャリア(図示されていない)を有し、改善された機械的安定性が提供される。非電気材料層は、ダイ114の非活性部分であり、特に、ケイ素、セラミック、または石英を有してもよい。非電気材料層は、例えば、リリース層を含む、任意の好適な技術を用いて、ダイ114に取り付けられてもよい。リリース層(剥離層とも称される)は、一時的な接着剤、または例えば、熱もしくは光への暴露によりリリースされる他の材料を有してもよい。非電気材料層は、任意の好適な技術を用いて、除去されてもよい。これには、例えば、研磨、反応性イオンエッチング(RIE)もしくは化学エッチングのようなエッチング、あるいは剥離層が光反応性または熱反応性材料を含む場合、光または熱の印加を含む。キャリアは、機械的安定性を提供する、いかなる好適な材料を有してもよい。キャリアは、例えば、除去可能な接着剤を含む、任意の好適な技術を用いて、ダイ114に取り付けられてもよい。
図4Cには、アセンブリ400B(図4B)の導電性ピラー434およびダイ114-1、114-4の周囲に、絶縁材料430が提供された後のアセンブリ400Cを示す。ある実施形態では、絶縁材料430は、最初に導電性ピラー434、およびダイ114-1、114-4の上部にわたって成膜され、その後、導電性ピラー434の上部表面、およびダイ114-1、114-4の上部表面に、導電性コンタクト12が露出されるまで、研磨されてもよい。ある実施形態では、絶縁材料430は、無機シリカ粒子を有する有機ポリマーのような、モールド材料である。ある実施形態では、絶縁材料430は、誘電体材料である。ある実施形態では、誘電体材料は、有機誘電体材料、防火グレードが4の材料(FR-4)、BT樹脂、ポリイミド材料、ガラス強化エポキシマトリクス材料、またはlow-Kおよび超low-K誘電体(例えば、炭素ドープ誘電体、フッ素ドープ誘電体、多孔質誘電体、および有機重合誘電体)を含んでもよい。誘電体材料は、ラミネート、またはスリットコーティングおよび硬化法を含む、いかなる好適なプロセスを用いて形成されてもよい。誘電体層が導電性ピラー434、およびダイ114-1、114-4を完全に覆うように形成される場合、研磨、または湿式エッチング、ドライエッチング(例えばプラズマエッチング)、ウェットブラスト、またはレーザアブレーション(例えばエキシマレーザの使用)のようなエッチングを含む、任意の好適な技術を用いて、誘電体層が除去されると、ダイ114-1、114-4の上部表面、および導電性ピラー434の上部表面に、導電性コンタクト124が露出される。ある実施形態では、絶縁層430の厚さは、最小限に抑制され、必要なエッチング時間が低減されてもよい。
図4Dには、ダイ114-1、114-4の上部表面、および1または2以上の導電性ピラー434の上部表面において、導電性コンタクト124上に導電性ピラー435を形成した後のアセンブリ400Dを示す。導電性ピラー435は、本願に記載の実施例のいかなる形態を取ってもよく、任意の好適な技術(例えば、前述の図4を参照した記載)を用いて形成されてもよい。導電性ピラー435は、1または2以上の過疎領域456を形成するように配置され、ここには、導電性ピラー435は存在しない。
図4Eには、アセンブリ400D(図4D)の過疎領域456に、ダイ114-2を配置し、ダイ114-2をダイ114-1、114-4に結合した後のアセンブリ400Eを示す。ダイ114-2の底部表面における導電性コンタクト122は、ダイ114-1、114-4の上部表面において、導電性コンタクト124と結合されてもよい(DTDインターコネクト130-1を介して)。金属-金属取り付け技術、はんだ技術、または異方性導電性材料技術のような、いかなる好適な技術を使用して、アセンブリ400EのDTDインターコネクト130が形成されてもよい。ダイ114-2は、図4Bを参照して説明したような任意の好適な技術を用いて、キャリア402上に配置されてもよい。ある実施形態では、ダイ114-2とダイ114-1、114-4の間に、アンダーフィル材料が設置され、および/またはDTDインターコネクト130が設置されてもよい。ある実施形態では、ダイは、予備設置されたNCFを有してもよい。
図4Fには、アセンブリ400E(図4E)の導電性ピラー435およびダイ114-2の周囲に絶縁材料431が提供された後の、アセンブリ400Fを示す。絶縁材料431は、前述の図4Cを参照して示したように形成されてもよい。
図4Gには、図4D乃至4Fに記載のプロセスを繰り返すことにより、アセンブリ400Fに別の層を形成した後のアセンブリ400Gを示す。図4Gに示すように、アセンブリ400Gは、ダイ114-2の上部表面における導電性コンタクト124上、および1または2以上の導電性ピラー435の上部表面上に、導電性ピラー436を形成し、DTDインターコネクトを介してダイ114-2にダイ114-3、114-5を配置し結合し、導電性ピラー436、およびダイ114-3、114-5の周囲に絶縁材料432を提供することにより形成されてもよい。ダイ114-3は、MLインターコネクト152により、ダイ114-1に結合され、ダイ114-5は、MLインターコネクト152により、ダイ114-4に結合されてもよい。
図4Hには、図4E乃至4Fに記載のプロセスを繰り返すことにより、アセンブリ400Gに別の層を形成した後のアセンブリ400Hを示す。図4Hに示すように、アセンブリ400Hは、ダイ114-7、114-8、114-9を配置し、ダイの周囲に絶縁材料433を提供することにより、形成されてもよい。ダイ114-7は、DTDインターコネクト130-1、130-2により、ダイ114-3と結合されてもよい。ダイ114-8は、MLインターコネクト152により、ダイ114-2に結合されてもよい。ダイ114-9は、DTDインターコネクト130-1、130-2により、ダイ114-5に結合され、MLインターコネクト152により、ダイ114-4に結合されてもよい。図4D乃至4Fに記載のプロセスを繰り返すことにより、複合ダイの追加の層が構築されてもよい。
図4Iには、キャリア402を除去し、複合ダイを単体化した後のアセンブリ400Iを示す。単体化の前後に、好適なさらなる動作が実施されてもよい(例えば、モールド材料の成膜、熱スプレッダの取り付け、はんだレジスト層の成膜、パッケージ基板もしくは回路基盤との接続用のはんだボールの取り付け等)。アセンブリ400Iは、パッケージ基板または回路基盤との電気的結合のため、ダイ114-1、114-4の底部表面に、導電性コンタクト122を有するが、ある実施形態では、ダイ114-7、114-8、および/または114-9は、上部表面に導電性コンタクトを有し、アセンブリは、反転または「ひっくり返され」、ダイ114-7、114-8、および/または114-9の上部表面におけるインターコネクトを介して、パッケージ基板または回路基盤と結合されてもよい。
記載された小型電子アセンブリ100のある実施形態では、多層ダイサブアセンブリ104は、パッケージ基板部分とも称される、再分配層(RDL)148を有してもよい。例えば、図5には、多層ダイサブアセンブリ104が4つの層104-1、104-2、104-3、104-4を有し、第2の層104-2と第3の層104-3の間にRDLを有する、小型電子アセンブリ100の実施形態が示されている。図3を参照して示したように、第1の層104-1は、ダイ114-1、114-4を有し、第2の層104-2は、ダイ114-2を有してもよい。第3の層104-3は、ダイ114-3、114-10を有し、これらは、ダイ114-3、114-10の底部表面に導電性コンタクト122を有し、ダイ114-3、114-10の上部表面に導電性コンタクト124を有してもよい。ダイ114-3に示すように、ダイ114-3の底部表面における導電性コンタクト122は、ダイツーRDL(DTRDL)インターコネクト155-1、155-2により、RDL148の上部表面における導電性コンタクト174と電気的および機械的に結合されてもよい。ダイ114-10に示すように、ダイ114-10の底部表面における導電性コンタクト122は、DTRDLインターコネクト155-2、155-3により、RDL148の上部表面における導電性コンタクト174と電気的および機械的に結合されてもよい。めっき技術、はんだ技術、または異方性導電性材料技術のような、いかなる好適な技術を用いて、DTRDLインターコネクト155を形成してもよい。第4の層104-4は、ダイ114-11、ダイ114-12、ダイ114-13を有し、ダイ114-11、114-12、114-13は、ダイ114-11、114-12、114-13の底部表面に導電性コンタクト122を有してもよい。ダイ114-11に示すように、ダイ114-11の底部表面における導電性コンタクト122は、DTDインターコネクト130-1、130-2により、ダイ114-3の上部表面における導電性コンタクト124と電気的および機械的に結合されてもよい。ダイ114-12に示すように、ダイ114-12の底部表面における導電性コンタクト122は、MLインターコネクト152により、RDL148の上部表面における導電性コンタクト174と電気的および機械的に結合されてもよい。ダイ114-13に示すように、ダイ114-13の底部表面における導電性コンタクト122は、DTDインターコネクト130-1、130-2により、ダイ114-10の上部表面における導電性コンタクト124と電気的および機械的に結合され、MLインターコネクト152により、RDL148の上部表面における導電性コンタクト174と電気的および機械的に結合されてもよい。
図5では、単一のRDLを有する多層ダイサブアセンブリ104が示されているが、複合ダイには、いかなる数のRDLが含まれてもよく、任意のダイ層の間に配置されてもよい。ある実施形態では、小型電子アセンブリは、導電性ピラーを有する層の上部に、RDLを有し、追加の伝送機能が提供されてもよい。RDL148は、図1Aのパッケージ基板102の形成に関して上述した技術のような、いかなる好適な技術を用いて形成されてもよい。ある実施形態では、RDL148を形成するステップには、RDL148の近接する導電性コンタクト174を形成するステップの一部として、金属または他の導電性材料により、ダイ114-1の導電性コンタクト122をめっきするステップが含まれる。その結果、ダイ114-3、114-10とRDL148の間のDTPSインターコネクト150-4は、めっきされたインターコネクトであってもよい。次に、ダイ114-3、114-10は、はんだインターコネクトであるDTPSインターコネクト150、および非はんだインターコネクト(例えばめっきインターコネクト)であるDTPSインターコネクト150を含む任意の技術により、RDL148の上部表面に取り付けられてもよい。
記載された小型電子アセンブリ100のある実施形態では、多層ダイサブアセンブリ104に含まれるダイ114は、異なる厚さを有してもよい。例えば、図5に示すように、ダイ114-10は、ダイ114-3の厚さ167よりも小さな厚さ165を有し、ダイ114-11、114-12、114-13は、ダイ114-3の厚さ167よりも大きな厚さ169を有してもよい。図5には、ダイ114-11、114-12、114-13が同じ厚さを有するものとして示されているが、ダイ114は、任意の好適な厚さを有し、厚さが変化してもよい。ある実施形態では、上部層におけるダイの厚さは、上部層以外の層におけるダイの厚さよりも大きく、単一化の間の破損が抑制されてもよい。大きなダイ厚さは、向上した機械的強度および支持を提供するためである。ある実施形態では、ダイ114は、熱および/または電気的な目的で、厚くまたは薄く構成されてもよい。
図6A乃至6Fは、各種実施例による、図5の小型電子アセンブリ100の製造プロセスの一例における、各種段階における側断面図である。図6Aには、キャリア602の上部表面に導電性ピラー634を形成した後の、キャリア602を有するアセンブリ600Aが示されている。図4を参照して上述したように、キャリア402は、製造動作の間、機械的安定性を提供する、いかなる好適な材料を有してもよい。導電性ピラー634は、1または2以上の過疎領域655を形成するように配置され、ここには、導電性ピラー634は存在しない。導電性ピラー634は、いかなる実施形態を有してもよく、例えば、図4を参照して前述したような、いかなる好適な技術を用いて形成されてもよい。
図6Bには、アセンブリ600A(図6A)の過疎領域655にダイ114-1、114-4を配置し、アセンブリ600A(図6A)の導電性ピラー634、およびダイ114-1、114-4の周囲に絶縁材料630を提供した後のアセンブリ600Bを示す。ダイ114は、任意の好適な技術を用いて、キャリア602上に配置され、絶縁材料は、図4を参照して前述したような、任意の好適な技術を用いて提供されてもよい。
図6Cには、アセンブリ600B上に第2のダイ層を形成した後のアセンブリ600Cを示す。第2のダイ層は、ダイ114-1、114-4の上部表面における導電性コンタクト124上、および1または2以上の導電性ピラー634の上部表面上に導電性ピラー635を形成し、ダイ114-2を配置し、導電性ピラー635およびダイ114-2の周囲に、絶縁材料631を提供することにより形成される。導電性ピラー635は、記載された実施例のいかなる形態を取ってもよく、(例えば、図4を参照して前述したような)任意の好適な技術を用いて形成されてもよい。図4を参照して示したように、ダイ114-2は、DTDインターコネクト130-2により、ダイ114-1、114-4に結合され、および導電性ピラー634に結合されてもよい。
図6Dには、アセンブリ600C上にRDL648を形成した後のアセンブリ600Dを示す。RDL148は、PCB技術または再分配層技術のような、任意の好適な技術を用いて製造されてもよい。RDL648は、底部表面に導電性コンタクト672を有し、RDL648の上部表面に導電性コンタクト674を有してもよい。
図6Eには、アセンブリ600Dに第3のダイ層を形成した後のアセンブリ600Eを示す。第3のダイ層は、RDL648の上部表面における導電性コンタクト674上に導電性ピラー636を形成し、ダイ114-3および114-10を配置、結合し、ダイ114-3、114-10、および導電性ピラー636の周囲に絶縁材料632を提供することにより形成されてもよい。導電性ピラー635は、記載された実施例のいかなる形態を取ってもよく、(例えば、図4を参照して前述したような)いかなる好適な技術を用いて形成されてもよい。図5を参照して前述したように、ダイ114-3、114-10は、DTRDLインターコネクト155-1、155-2、155-3により、RDL648の上部表面における導電性コンタクト174に結合されてもよい。
図6Fには、図6Cおよび/または図4D乃至4Fに記載のプロセスを繰り返すことにより、アセンブリ600Eに第4のダイ層を形成した後のアセンブリ600Fを示す。図6Fに示すように、アセンブリ600Fは、ダイ114-11、114-12、114-13を配置、結合し、ダイ114-11、114-12、114-13の周囲に、絶縁材料を提供することにより形成されてもよい。ダイ114-11は、DTDインターコネクト130-1、130-2により、ダイ114-3に結合され、ダイ114-12は、MLインターコネクト152によりRDL648に結合され、ダイ114-13は、DTDインターコネクト130-1、130-2により、ダイ114-10に結合され、MLインターコネクト152により、RDL648に結合されてもよい。追加のダイ層および/またはRDLは、図6A乃至6Fに関して記載されたプロセスを繰り返すことにより、構築されてもよい。図6Gには、単一の多層ダイサブアセンブリとして、アセンブリ600が示されているが、キャリア602上に複数のアセンブリが形成され、キャリア602から除去され、その後単体化されてもよい。アセンブリ600Fは、キャリア602から除去され、さらなる好適な動作が実施されてもよい(例えば、パッケージ基板102の取り付け等)。
開示の小型電子アセンブリ100のある実施形態では、多層ダイサブアセンブリ104は、パッケージ基板部分149に埋設されたダイ114を有してもよい。例えば、図7には、多層ダイサブアセンブリ104が6つのダイ層104-1、104-2、104-3、104-4、104-5、104-6を有する、小型電子アセンブリ100の実施例を示す。2つの層(例えば、第1の層104-1および第4の層104-4)は、パッケージ基板部分149を有してもよい。パッケージ基板部分149は、パッケージ基板部分149の底部表面に導電性コンタクト172を有し、上部表面に導電性コンタクト174を有してもよい。例えば、バンプレスビルドアップ層技術、キャリアベースパネルレベルコアレスパッケージ基板製造技術、または埋設パネルレベル結合技術を含む、いかなる好適な技術を用いて、パッケージ基板部分149を形成してもよい。ある実施形態では、パッケージ基板部分149の形成は、パッケージ基板部分149の上部表面における隣接導電性コンタクト174を形成するステップの一部として、金属または他の導電性材料を用いて、ダイ114の上部表面に導電性コンタクト124をめっきするステップを有してもよい。従って、ダイ114とパッケージ基板部分149の間のDTPSインターコネクト150がめっきされたインターコネクトになってもよい。
図7に示すように、第1の層104-1は、パッケージ基板部分149-1に埋設されたダイ114-14を有してもよい。ダイ114-14は、DTPSインターコネクト150-1、150-2により、パッケージ基板102に結合されてもよい。第2の層104-2は、ダイ114-15およびダイ114-16を有してもよい。ダイ114-15は、DTPSインターコネクト150-1により、パッケージ基板部分149-1に結合され、DTDインターコネクト130-2により、ダイ114-14に結合されてもよい。ダイ114-16は、DTPSインターコネクト150-1により、パッケージ基板部分149-1に結合され、DTDインターコネクト130-2により、ダイ114-14に結合されてもよい。第3の層104-3は、ダイ114-17、114-18、114-9を有してもよい。ダイ114-17は、DTDインターコネクト130-1、130-2により、ダイ114-15に結合されてもよい。ダイ114-18は、MLインターコネクト152により、ダイ114-14に結合されてもよい。ダイ114-19は、DTDインターコネクト130-1、130-2により、ダイ114-16に結合され、MLインターコネクト152により、パッケージ基板部分149-1に結合されてもよい。第4の層104-4は、パッケージ基板部分149-2に埋設されたダイ114-20を有してもよい。ダイ114-20は、DTDインターコネクト130-2により、ダイ114-17および114-19に結合されてもよい。第5の層104-5は、ダイ114-21および114-22を有してもよい。ダイ114-21は、DTPSインターコネクト150-1により、パッケージ基板部分149-2に結合され、DTDインターコネクト130-2により、ダイ114-20に結合されてもよい。ダイ114-22は、DTDインターコネクト130-2により、ダイ114-20に結合され、DTPSインターコネクト150-1により、パッケージ基板部分149-2に結合されてもよい。第6の層104-6は、ダイ114-23、114-24、114-25を有してもよい。ダイ114-23は、DTDインターコネクト130-1、130-2により、ダイ114-21に結合されてもよい。ダイ114-24は、MLインターコネクト152により、ダイ114-20に結合されてもよい。ダイ114-25は、DTDインターコネクト130-1、130-2により、ダイ114-22に結合され、MLインターコネクト152により、パッケージ基板部分149-2に結合されてもよい。DTPSインターコネクト150、DTDインターコネクト130、およびMLインターコネクト152は、本願に記載のそれぞれのインターコネクトであってもよい。
図7には、特定の数のダイ、インターコネクト、およびパッケージ基板部分が特定の配置で示されているが、いかなる数および配置のダイ、インターコネクト、およびパッケージ基板部分を使用してもよく、さらに、1または2以上のRDLを含んでもよい。
本願に開示の小型電子アセンブリ100は、いかなる好適な用途に使用されてもよい。例えば、ある実施形態では、小型電子アセンブリ100は、フィールドプログラム化ゲートアレイ(FPGA)無線通信機およびIII-V族増幅器用の超高密度および高バンド幅インターコネクトの提供に使用されてもよい。
一般に、開示の小型電子アセンブリ100では、ある従来の方法によって、単一の大きなダイに含まれる全ての回路を有する代わりに、異なる種類の機能回路の「ブロック」が、異なるダイ114の一つに分配される。あるそのような従来の方法では、単一の大きなダイは、これらの異なる回路全てを有し、高いバンド幅、回路間の低損失通信が得られ、これらの回路の一部または全ては、選択的に無効にされ、大きなダイの機能が調整される。しかしながら、小型電子アセンブリ100のMLインターコネクト152、および/またはDTDインターコネクト130では、高いバンド幅が可能となり、異なるダイ114の一つと、異なるダイ114の一つおよびパッケージ基板102との間の低損失通信が可能になるため、異なるダイ114に異なる回路が分配され、製造のトータルコストが低減され、歩留まりが向上し、異なるダイ114(例えば、異なる製造技術を用いて形成されたダイ114)が容易に交換され、異なる機能が得られることで、設計柔軟性が高められる。
別の例では、小型電子アセンブリ100における活性回路を含むダイ114-2を用いて、他のダイ114の間(例えば、各種実施例におけるダイ114-1と114-4の間、またはダイ114-1と114-3の間)に、「活性」ブリッジが提供されてもよい。別の例では、小型電子アセンブリ100におけるダイ114-1は、処理装置であり(例えば、中央処理ユニット、グラフィック処理ユニット、FPGA、モデム、アプリケーションプロセッサ等)、ダイ114-2は、高バンド幅メモリ、通信機回路、および/または入力/出力回路(例えば、ダブルデータ速度変換回路、周辺部材インターコネクトエクスプレス回路等)を有してもよい。ある実施形態では、ダイ114-1は、一組の導電性コンタクト124を有し、高バンド幅メモリダイ114-2とインターフェース化され、導電性コンタクト124の異なる組は、入力/出力回路ダイ114-2とインターフェース化されてもよい。特定の高バンド幅メモリダイ114-2、入力/出力回路ダイ114-2等は、間近の用途用に選定されてもよい。
別の例では、小型電子アセンブリ100におけるダイ114-2は、キャッシュメモリ(例えば、第3レベルキャッシュメモリ)であってもよい。1または2以上のダイ114-1、114-4、114-3、および/または114-5は、ダイ114-2のキャッシュメモリをシェアする処理装置(例えば、中央処理ユニット、グラフィック処理ユニット、FPGA、モデム、アプリケーションプロセッサ等)であってもよい。
別の実施例では、ダイ114は、単一のシリコン基板であり、またはメモリスタックのような、複合ダイであってもよい。
本願に記載の小型電子アセンブリ100は、いかなる好適な電子部材であってもよい。図8乃至11には、記載された任意の小型電子アセンブリ100に含まれる機器の各種例を示す。
図8は、ウェハ1500、および本願に開示の小型電子アセンブリ100に含まれ得るダイ1502の上面図である(例えば、ダイ114の任意の好適な一つ)。ウェハ1500は、半導体材料で構成され、ウェハ1500の表面に形成されたIC構造を含む、1または2以上のダイ1502を有してもよい。ダイ1502の各々は、任意の好適なICを含む、半導体製品の繰り返しユニットであってもよい。半導体製品の製造完了後に、ウェハ1500は、単一化プロセスに晒され、ダイ1502は、相互に分離され、半導体製品の別個の「チップ」が提供されてもよい。ダイ1502は、記載された任意のダイ114であってもよい。ダイ1502は、1または2以上のトランジスタ(例えば、以下に示す図9のトランジスタ1640の一部)を有し、回路が支持され、トランジスタ、パッシブ部材(例えば、信号トレース、レジスタ、キャパシタ、またはインダクタ)、および/または任意の他のIC部材に電気信号が流れる。ある実施形態では、ウェハ1500またはダイ1502は、メモリ装置(例えば、スタティックRAM(SRAM)装置、磁気RAM(MRAM)装置、抵抗RAM(RRAM)装置、導電性ブリッジRAM(CBRAM)装置等のランダムアクセスメモリ(RAM)装置)、ロジック装置(例えば、AND、OR、NANDまたはNORゲート)、または他の任意の好適な回路素子を有してもよい。これらの装置の複数は、単一のダイ1502上で組み合わされてもよい。例えば、複数のメモリ装置により形成されるメモリアレイは、処理装置(例えば図11の処理装置1802)として、またはメモリ装置に情報を保管し、もしくはメモリアレイに保管された指令を実行するように構成された他のロジックとして、同じダイ1502上に形成されてもよい。各種小型電子アセンブリ100は、ダイ-ツー-ウェハアセンブリ技術を用いて製造され、一部のダイ114は、ダイ114の他方を含むウェハ1500に取り付けられ、その後ウェハ1500が単一化されてもよい。
図9には、開示の任意の小型電子アセンブリ100(例えばいずれかのダイ114)に含まれるIC装置1600の側断面を示す。IC装置1600の1または2以上は、1または2以上のダイ1502に含有される(図8)。IC装置1600は、ダイ基板1602(例えば図8のウェハ1500)上に形成され、ダイ(例えば、図8のダイ1502)に含有されてもよい。ダイ基板1602は、例えば、n型またはp型材料系(または両方の組み合わせ)を含む半導体材料系で構成された半導体基板であってもよい。ダイ基板1602は、例えば、バルクシリコンまたはシリコンオンインシュレータ(SOI)サブ構造を用いて形成された、結晶質基板を有してもよい。ある実施形態では、ダイ基板1602は、代替材料を用いて形成されてもよい。これは、シリコンと組み合わされても、組み合わされなくてもよく、これには、これに限られるものではないが、ゲルマニウム、インジウムアンチモン化物、鉛テルル化物、インジウムヒ素化物、インジウムリン化物、ガリウムヒ素化物、またはガリウムアンチモン化物が含まれる。また、II-VI族、III-V族、またはIV族に分類される別の材料が使用され、ダイ基板1602が形成されてもよい。ダイ基板1602が形成されるいくつかの材料の例が記載されているが、IC装置160の基礎として機能するいかなる材料を使用してもよい。ダイ基板1602は、単一化ダイ(例えば、図8のダイ1502)、またはウェハ(例えば図8のウェハ1500)の一部であってもよい。
IC装置1600は、ダイ基板1602上に配置された、1または2以上の装置層1604を有してもよい。装置層1604は、ダイ基板1602上に形成された、1または2以上のトランジスタ1640の特徴物(例えば、金属酸化物半導体フィールド効果トランジスタ(MOSFET))を有してもよい。装置層1604は、例えば、1または2以上のソースおよび/またはドレイン(S/D)領域1620と、S/D領域1620の間のトランジスタ1640内での電流の流れを制御するゲート1622と、S/D領域1620に/から、電気信号を伝送する1または2以上のS/Dコンタクト1624とを有してもよい。トランジスタ1640は、明確化のため図には記載されていない、装置絶縁領域、ゲートコンタクトなどの追加の特徴物を有してもよい。トランジスタ1640は、図9に示した種類および構成に限定されず、例えば、平面トランジスタ、非平坦トランジスタ、または両者の組み合わせのような、各種他の種類および配置を含んでもよい。非平坦トランジスタは、ダブルゲートトランジスタもしくはトリゲートトランジスタのようなFinFETトランジスタ、ならびにナノリボンおよびナノワイヤトランジスタのような、回り込みゲートもしくは全面ゲートトランジスタを有してもよい。
各トランジスタ1640は、ゲート誘電体およびゲート電極の少なくとも2つの層で形成されたゲート1622を有してもよい。ゲート誘電体は、一つの層または層のスタックを有してもよい。1または2以上の層は、酸化ケイ素、二酸化ケイ素、炭化ケイ素、および/または高k誘電体材料を含んでもよい。高k誘電体材料は、ハフニウム、シリコン、酸素、チタン、タンタル、ランタン、アルミニウム、ジルコニウム、バリウム、ストロンチウム、イットリウム、鉛、スカンジウム、ニオブ、および亜鉛のような元素を含んでもよい。ゲート誘電体に使用され得る高k材料の例には、これに限られるものではないが、ハフニウム酸化物、ハフニウムケイ素酸化物、ランタン酸化物、ランタンアルミニウム酸化物、ジルコニウム酸化物、ジルコニウムケイ素酸化物、タンタル酸化物、チタン酸化物、バリウムストロンチウムチタン酸化物、バリウムチタン酸化物、ストロンチウムチタン酸化物、イットリウム酸化物、アルミニウム酸化物、鉛スカンジウムタンタル酸化物、および鉛亜鉛ニオブ化物が含まれる。ある実施形態では、ゲート誘電体に対して熱処理プロセスが実施され、高k材料が使用された際のその品質が改善されてもよい。
ゲート電極は、ゲート誘電体上に形成され、トランジスタ1640がp型金属酸化物半導体(PMOS)か、n型金属酸化物半導体(NMOS)のトランジスタかに応じて、少なくとも一つのp型仕事関数金属またはn型仕事関数金属を有してもよい。ある実施形態では、ゲート電極は、2または3以上の金属層のスタックで構成されてもよく、この場合、1または2以上の金属層は、仕事関数金属層であり、少なくとも一つの金属層は、充填金属層である。他の目的で、バリア層のような、別の金属層が含まれてもよい。PMOSトランジスタの場合、ゲート電極に使用され得る金属は、これに限られるものではないが、ルテニウム、パラジウム、白金、コバルト、ニッケル、導電性金属酸化物(例えばルテニウム酸化物)、およびNMOSトランジスタを参照して、以下に示された任意の金属(例えば、仕事関数調整用)を含む。NMOSトランジスタの場合、ゲート電極に使用され得る金属は、これに限られるものではないが、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、これらの金属の合金、これらの金属の炭化物(例えば、ハフニウム炭化物、ジルコニウム炭化物、チタン炭化物、タンタル炭化物、およびアルミニウム炭化物)、および前述のPMOSトランジスタを参照して示された任意の金属(例えば仕事関数調整用)を含む。
ある実施形態では、ソース-チャネル-ドレイン方向に沿って、トランジスタ1640の断面を視認した際に、ゲート電極は、U字型の構造で構成され、ダイ基板1602の表面に略平行な底部と、ダイ基板1602の上部表面に対して略垂直な2つの側壁部とを有する。別の実施例では、ゲート電極を形成する金属層の少なくとも一つは、ダイ基板1602の上部表面に略平行な、単なる平坦層であり、ダイ基板1602の上部表面に略垂直な側壁部を有さない。別の実施例では、ゲート電極は、U字型構造と、平坦な非U字型構造の組み合わせで構成されてもよい。例えば、ゲート電極は、1または2以上の平坦な非U字型層の上部に形成された、1または2以上のU字型金属層で構成されてもよい。
ある実施形態では、ゲートスタックの反対側に、側壁スペーサの組が形成され、ゲートスタックが囲まれてもよい。側壁スペーサは、ケイ素窒化物、ケイ酸化物、ケイ素炭化物、炭素ドープケイ素窒化物、および酸窒化ケイ素のような材料で形成されてもよい。側壁スペーサを形成するプロセスは、良く知られており、通常、成膜およびエッチングプロセスのステップを有する。ある実施形態では、複数のスペーサの組が使用される。例えば、ゲートスタックの対向する両側に、2組、3組、または4組の側壁スペーサが形成されてもよい。
S/D領域1620は、各トランジスタ1640のゲート1622に隣接するダイ基板1602内に形成されてもよい。S/D領域1620は、例えば、注入/拡散処理プロセス、またはエッチング/成膜プロセスを用いて形成されてもよい。前者のプロセスでは、ボロン、アルミニウム、アンチモン、リン、またはヒ素のようなドーパントが、ダイ基板1602にイオン注入され、S/D領域1620が形成される。イオン注入プロセスの後に、ドーパントを活性化させ、これらをダイ基板1602の遠くまで拡散させる熱処理プロセスが行われてもよい。後者のプロセスでは、ダイ基板1602は、最初にエッチングされ、S/D領域1620の位置に凹部が形成される。次に、エピタキシャル成膜プロセスが実施され、S/D領域1620の作製に使用される材料により、凹部が充填される。ある実施形態では、S/D領域1620は、ケイ素ゲルマニウムまたはケイ素炭化物のようなシリコン合金を用いて作製されてもよい。ある実施形態では、エピタキシャル成膜されたシリコン合金が、ボロン、ヒ素、またはリンのようなドーパントにより、in-situでドープされてもよい。ある実施形態では、S/D領域1620は、ゲルマニウム、またはIII-V族材料もしくは合金のような、1または2以上の代替半導体材料を用いて形成されてもよい。別の実施例では、金属および/または金属合金の1または2以上の層を用いて、S/D領域1620が形成されてもよい。
電力および/または入力/出力信号(I/O)のような電気信号は、装置層1604上に配置された1または2以上のインターコネクト層を介して、装置層1604の装置(例えばトランジスタ1640)から、および/または装置に伝送されてもよい(インターコネクト層1606乃至1610として、図9に示されている)。例えば、装置層1604の導電性特徴物(例えば、ゲート1622、およびS/Dコンタクト1624)は、インターコネクト層1606乃至1610のインターコネクト構造1628と電気的に結合されてもよい。1または2以上のインターコネクト層1606乃至1610は、IC装置1600の金属化スタック(「ILDスタック」とも称される)1619を形成してもよい。
インターコネクト構造1628は、インターコネクト層1606乃至1610内に配置され、幅広い設計により電気信号が伝送されてもよい。特に、配置は、図9に示したインターコネクト構造1628の特定の構成に限定されるものではない。図9には、特定の数のインターコネクト層1606乃至1610が示されているが、本開示の実施例は、記載されたインターコネクト層よりも多くのまたは少ない、IC装置を有する。
ある実施形態では、インターコネクト構造1628は、配線1628a、および/または金属のような導電性材料で充填されたビア1628bを有してもよい。配線1628aは、装置層1604が形成される、ダイ基板1602の表面と略平行な面の方向に、電気信号を伝送するように配置されてもよい。例えば、配線1628aは、図9の視野から、頁に出入りする方向に電気信号を伝送してもよい。ビア1628bは、装置層1604が形成されるダイ基板1602の表面に略垂直な面の方向に、電気信号を伝送するように配置されてもよい。ある実施形態では、ビア1628bは、異なる層1606乃至1610の配線1628aを相互に電気的に結合してもよい。
インターコネクト層1606乃至1610は、図9に示すように、インターコネクト構造1628の間に配置された、誘電体材料1626を有してもよい。ある実施形態では、インターコネクト層1606乃至1610の別のものにおいて、インターコネクト構造1628の間に配置された誘電体材料1626は、異なる組成を有してもよい。別の実施例では、異なるインターコネクト層1606乃至1610の間の誘電体材料1626の組成は、同じであってもよい。
第1のインターコネクト層1606(金属1または「M1」と称される)は、装置層1604の上に、直接形成されてもよい。ある実施形態では、第1のインターコネクト層1606は、図のように、配線1628aおよび/または1628bを含んでもよい。第1のインターコネクト層1606上の配線1628aは、装置層1604のコンタクト(例えば、S/Dコンタクト1624)に結合されてもよい。第2のインターコネクト層1608(金属2または「M2」も称される)は、第1のインターコネクト層1606の直上に形成されてもよい。ある実施形態では、第2のインターコネクト層1608は、ビア1628aを含み、第2のインターコネクト層1608の配線1628aを、第1のインターコネクト層1606の配線1628aに結合してもよい。明確化のため、配線1628aおよびビア1628bは、各インターコネクト層内(例えば、第2のインターコネクト層1608内)の線で構造的に示されているが、ある実施形態では、配線1628aおよびビア1628bは、構造的および/または材料的につながっていてもよい(例えば、ジュアルダマシンプロセスの間、同時に充填される)。
第2のインターコネクト層1608または第1のインターコネクト層1606に関して示したものと同様の技術および構成により、第3のインターコネクト層1610(金属3または「M3」と称される)(および必要な場合、追加のインターコネクト層)は、第2のインターコネクト層1608に連続して形成されてもよい。ある実施形態では、IC装置1600における金属化スタック1619に「積み上げられた」インターコネクト層(すなわち、装置層1604から遠ざかるもの)は、より厚くなってもよい。
IC装置1600は、はんだレジスト材料1634(例えば、ポリイミドまたは同様の材料)と、インターコネクト層1606乃至1610の上に形成された、1または2以上の導電性コンタクト1636とを含んでもよい。図9では、コンタクト1636は、結合パッドの形態を有するものとして示されている。導電性コンタクト1636は、インターコネクト構造1628と電気的に結合され、トランジスタ1640の電気信号を、他の外部装置に伝送するように構成されてもよい。例えば、はんだ結合は、1または2以上の導電性コンタクト1636の上に形成され、IC装置1600を含むチップを、別の部材(例えば、回路基盤)と機械的および/または電気的に結合してもよい。IC装置1600は、追加のまたは別の構造を有し、インターコネクト層1606乃至1610からの電気信号を伝送してもよい。例えば、導電性コンタクト1636は、他の同様の特徴物(例えばポスト)を有し、これは、外部部材に電気信号を伝送してもよい。導電性コンタクト1636は、必要に応じて、導電性コンタクト122または124として機能してもよい。
IC装置1600が(例えば、ダイ114-1と同様の)ダブルサイドダイである実施形態では、IC装置1600は、装置層1604の反対側に、別の金属化スタック(図示されていない)を有してもよい。この金属化スタックは、インターコネクト層1606乃至1610に関して前述した、複数のインターコネクトを有し、導電性コンタクト1636からIC装置1600の反対側の追加の導電性コンタクト(図示されていない)と装置層1604との間に、(例えば導電性配線およびビアを含む)導電性経路が提供されてもよい。これらの追加の導電性コンタクトは、必要に応じて、導電性コンタクト122または124として機能してもよい。
IC装置1600が(例えば、ダイ114-1のような)ダブルサイドダイである別の実施例では、IC装置1600は、基板1602を貫通する1または2以上のTSVを有してもよい。これらのTSVは、装置層1604と接触し、導電性コンタクト1636からIC装置1600の反対側における追加の導電性コンタクト(図示されていない)と装置層1604との間に、導電性経路を提供してもよい。これらの追加の導電性コンタクトは、必要に応じて、導電性コンタクト122または124として機能してもよい。
図10は、IC装置アセンブリ1700の断面図であり、これは、本願に記載の任意の小型電子アセンブリ100を含んでもよい。ある実施形態では、IC装置アセンブリ1700は、小型電子アセンブリ100であってもよい。IC装置アセンブリ1700は、回路基盤1702(例えば、マザーボードであってもよい)に配置された多くの部材を有する。IC装置アセンブリ1700は、回路基盤1702の第1の面1740、および回路基盤1702の反対側の第2の面に配置された部材を有する。通常、部材は、面1740および1742の片側または両側に、配置されてもよい。IC装置アセンブリ1700を参照して以下に記載される任意のICパッケージは、本願に記載の小型電子アセンブリ100の実施例のいかなる好適な形態を取ってもよい。
ある実施形態では、回路基盤1702は、誘電体材料の層により相互に分離され、導電性ビアにより相互接続された複数の金属層を含む、PCBであってもよい。1または2以上の金属層は、所望の回路パターンで形成され、(必要な場合、他の金属層とともに)回路基盤1702に結合された部材の間に、電気信号を伝送してもよい。別の実施例では、回路基盤1702は、非PCB基板であってもよい。ある実施形態では、回路基盤1702は、例えば、回路基盤であってもよい。
図10に示されたIC装置アセンブリ1700は、結合部材1716により、回路基盤1702の第1の面1740に結合されたパッケージオンインターポーザ構造1736を有する。結合部材1716は、パッケージオンインターポーザ構造1736を電気的および機械的に回路基盤1702に結合し、はんだボール(図10に示されている)、ソケットのオスメス部、接着剤、アンダーフィル材料、および/または任意の他の好適な電気的および/または機械的結合構造を有してもよい。
パッケージオンインターポーザ構造1736は、結合部材1718によりインターポーザ1704に結合されたICパッケージ1720を有してもよい。結合部材1718は、結合部材1716を参照して前述した形態のような、適用のためのいかなる好適な形態を取ってもよい。図10には、単一のICパッケージ1720が示されているが、インターポーザ1704に、複数のICパッケージが結合されてもよい。実際には、追加のインターポーザがインターポーザ1704に結合されてもよい。インターポーザ1704は、介在基板を提供し、ICパッケージ1720と回路基盤1702の間の橋渡しに使用されてもよい。ICパッケージ1720は、例えば、ダイ(図8のダイ1502)、IC装置(例えば、図9のIC装置1600)、もしくは任意の他の好適な部材であり、またはこれを含んでもよい。通常、インターポーザ1704は、より広いピッチで接続を広げ、あるいは接続を異なる接続に再切り換えしてもよい。例えば、インターポーザ1704は、回路基盤1702に結合するため、ICパッケージ1720(例えばダイ)を、結合部材1716の一組のボールグリッドアレイ(BGA)導電性コンタクトに結合してもよい。図10に示した実施例では、ICパッケージ1720および回路基盤1702は、インターポーザ1704の対向する側に取り付けられる。別の実施例では、ICパッケージ1720および回路基盤1702は、インターポーザ1704の同じ側に取り付けられてもよい。ある実施形態では、インターポーザ1704により、3または4以上の部材が相互接続されてもよい。
ある実施形態では、インターポーザ1704は、PCBとして形成され、誘電体材料の層により相互に分離され導電性ビアにより相互に接続された複数の金属層を含んでもよい。ある実施形態では、インターポーザ1704は、エポキシ樹脂、繊維ガラス強化エポキシ樹脂、無機フィラーを有するエポキシ樹脂、セラニック材料、またはポリイミドのようなポリマー材料で形成されてもよい。ある実施形態では、インターポーザ1704は、別の硬質または可撓性材料で構成され、これは、半導体基板に使用される、前述の材料と同じ材料、例えばシリコン、ゲルマニウム、ならびに他のIII-V族およびIV族材料のような材料を含んでもよい。インターポーザ1704は、これに限られるものではないが、TSV1706を含む、ビア1710および金属インターコネクト1708を含んでもよい。インターポーザ1704は、さらに、パッシブ装置およびアクティブ装置の両方を含む、埋設された装置1714を有してもよい。そのような装置は、これに限られるものではないが、キャパシタ、脱結合キャパシタ、レジスタ、インダクタ、フューズ、ダイオード、変圧器、センサ、静電放電(ESD)装置、およびメモリ装置を含んでもよい。インターポーザ1704上に、無線周波数装置、電力増幅器、電力管理装置、アンテナ、アレイ、センサ、および小型電子機械システム(MEMS)のような、より複雑な装置を形成してもよい。パッケージオンインターポーザ構造1736は、従来の任意のパッケージオンインターポーザ構造の形態を取ってもよい。
IC装置アセンブリ1700は、結合部材1722により回路基盤1702の第1の面1740に結合された、ICパッケージ1724を有してもよい。結合部材1722は、結合部材1716を参照して前述した任意の実施例の形態を取ってもよく、ICパッケージ1724は、ICパッケージ1720を参照して前述した任意の実施例の形態を取ってもよい。
図10に示したIC装置アセンブリ1700は、結合部材1728により、回路基盤1702の第2の面1742に結合されたパッケージオンパッケージ構造1734を有する。パッケージオンパッケージ構造1734は、結合部材1730により、相互に結合された、ICパッケージ1726、およびICパッケージ1732を有し、ICパッケージ1726は、回路基盤1702とICパッケージ1732の間に配置されてもよい。結合部材1728および1730は、前述の結合部材1716の実施例の任意の形態を取ってもよく、ICパッケージ1726および1732は、前述のICパッケージ1720の実施例の任意の形態を取ってもよい。パッケージオンパッケージ構造1734は、従来の任意のパッケージオンパッケージ構造により、構成されてもよい。
図11は、本願に記載の小型電子アセンブリ100の1または2以上を有し得る、電気装置1800の一例のブロック図である。例えば、電気装置1800の部材の任意の好適な一つは、本願に記載のIC装置アセンブリ1700、IC装置1600、またはダイ1502の1または2以上を有し、本願に記載の任意の小型電子アセンブリ100に配置されてもよい。図11には、電気装置1800に含まれる部材として、多くの部材が示されているが、これらの部材の1または2以上は、用途に適するように、省略され、または複製されてもよい。ある実施形態では、電気装置1800に含まれる部材の一部または全ては、1または2以上のマザーボードに取り付けられてもよい。ある実施形態では、これらの部材の一部または全ては、単一のシステムオンチップ(SoC)ダイ上に製作されてもよい。
また、各種実施形態において、電気装置1800は、図11に示された部材の1または2以上を含まなくてもよいが、電気装置1800は、1または2以上の部材を結合するインターフェース回路を含んでもよい。例えば、電気装置1800は、表示装置1806を含まず、表示装置インターフェース回路(例えばコネクタおよび駆動回路)を含み、これに表示装置1806が結合されてもよい。別の組の例では、電気装置1800は、音声入力装置1824または音声出力装置1808を含まず、音声入力または出力装置インターフェース回路(例えばコネクタおよび支持回路)を有し、これに音声入力装置1824または音声出力装置1808が結合されてもよい。
電気装置1800は、処理装置1802(例えば、1または2以上の処理装置)を有してもよい。本願において「処理装置」または「プロセッサ」と言う用語は、レジスタおよび/またはメモリからの電子データを処理して、該電子データを、レジスタおよび/またはメモリに保管され得る他の電子データに変換する、いかなる装置または装置部分をも意味する。処理装置1802は、デジタル信号プロセッサ(DSP)、アプリケーション特定IC(ASIC)、中央処理ユニット(CPU)、グラフィック処理ユニット(GPU)、暗号プロセッサ(ハードウェア内の暗号アルゴリズムを実行するように特化されたプロセッサ)、サーバプロセッサ、または他の任意の好適な処理装置の1または2以上を有してもよい。電気装置1800は、メモリ1804を有し、これ自身が、揮発性メモリ(例えばダイナミックランダムアクセスメモリ(DRAM))、非揮発性メモリ(例えば、読み取り専用メモリ(ROM))、フラッシュメモリ、半導体メモリ、および/またはハードドライブのような、1または2以上のメモリ装置を有してもよい。ある実施形態では、メモリ1804は、処理装置1802とダイを共有するメモリを有してもよい。このメモリは、キャッシュメモリとして使用され、埋設されたダイナミックランダムアクセスメモリ(eDRAM)、またはスピントランスファートルク磁気ランダムアクセスメモリ(STT-MRAM)を含んでもよい。
ある実施形態では、電気装置1800は、通信チップ1812(例えば、1または2以上の通信チップ)を有してもよい。例えば、通信チップ1812は、電気装置1800からの、および電気装置1800へのデータ転送用の無線通信を管理するように構成されてもよい。「無線」およびその派生語は、非固体媒体を介して、変調電磁放射線を用いてデータを通信する、回路、装置、システム、方法、技術、通信チャネルなどを示すために使用され得る。この用語は、関連する装置が任意の配線を含まないことを意味するものではない。ただし、ある実施形態では、それらは配線を有さなくてもよい。
通信チップ1812は、いかなる数の無線標準規格またはプロトコルを実行してもよい。これには、これに限られるものではないが、Wi-Fi(IEEE802.11系)を含む電気電子技術協会(IEEE)標準化規格、IEEE802.16標準化規格(例えば、IEEE802.16-2005修正)、任意の修正、アップデート、および/または改訂を伴う長期的進化(LTE)プロジェクト(例えば、先進LTEプロジェクト、超モバイルブロードバンド(UMB)プロジェクト(「3GPP2」とも称される)等)が含まれる。IEEE802.16互換ブロードバンド無線アクセス(BWA)ネットワークは、通常、WiMAXネットワークと称され、頭文字は、Worldwide interoperabilit for Microwave Accessを表す。これは、IEEE802.16標準規格の適応性および相互運用試験を通った製品の証明マークである。通信チップ1812は、グローバルシステムモバイルコミュニケーション(GSM)、ジェネラルパケットラジオサービス(GPRS)、ユニバーサルモバイル通信システム(UMLS)、高速パケットアクセス(HSPA)、発展HSPA(E-HSPA)、またはLTEネットワークにより作動してもよい。通信チップ1812は、増強データGSMエボリューション(EDGE)、GSM EDGEラジオアクセスネットワーク(GERAN)、ユニバーサルテレスチラル無線アクセスネットワーク(UTRAN)、または発展UTRAN(E-UTRAN)により作動してもよい。通信チップ1812は、コードディビジョンマルチプルアクセス(CDMA)、タイムディビジョンマルチプルアクセス(TDMA)、デジタルエンハンストコードレス通信(DECT)、エボリューションデータ最適化(EV-DO)、およびこれらの派生方式、ならびに3G、4G、5G、およびそれ以上の他の任意の無線通信プロトコルにより作動してもよい。別の実施例では、通信チップ1812は、他の無線通信プロトコルにより作動してもよい。電気装置180.は、アンテナ1822を有し、無線通信が容易となり、および/または他の無線通信(AMまたはFMラジオ放送)を受信してもよい。
ある実施形態では、通信チップ1812は、電気的、光学的、または他の任意の好適な通信プロトコル(例えばイーサネット(登録商標))のような有線通信を管理してもよい。前述のように、通信チップ1812は、複数の通信チップを有してもよい。例えば、第1の通信チップ1812は、Wi-Fiまたはブルーツース(登録商標)のような、短距離無線通信専用であり、第2の通信チップ1812は、グローバル位置システム(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO等のような、長距離無線通信専用であってもよい。ある実施形態では、第1の通信チップ1812は、無線通信専用であり、第2の通信チップ1812は、有線通信専用であってもよい。
電気装置1800は、電池/電力回路1814を有してもよい。電池/電力回路1814は、1または2以上のエネルギー貯蔵装置(例えば、電池またはキャパシタ)、および/または電気装置1800とは別のエネルギー源に電気装置1800の部材を結合する回路を有してもよい(例えば交流ラインパワー)。
電気装置1800は、表示装置1806(または前述の対応するインターフェース回路)を有してもよい。表示装置1806は、ヘッドアップディスプレイ、コンピュータモニタ、プロジェクタ、タッチスクリーンディスプレイ、液晶ディスプレイ(LCD)、発光ダイオードディスプレイ、またはフラットパネルディスプレイのような、いかなるビジュアル表示器を有してもよい。
電気装置1800は、音声出力装置1808(または前述の対応するインターフェース回路)を有してもよい。音声出力装置1808は、スピーカ、ヘッドセット、またはイヤフォンのような、音響指標を発生するいかなる装置を有してもよい。
電気装置1800は、音響入力装置1824(または前述の対応するインターフェース回路)を有してもよい。音響入力装置1824は、マイクロフォン、マイクロフォンアレイ、またはデジタル機器(例えば、音楽機器デジタルインターフェース(MIDI)出力を有する楽器)のような、音で表される信号を発生する、いかなる装置を含んでもよい。
電気装置1800は、GPS装置1818(または前述の対応するインターフェース回路)を有してもよい。GPS装置1818は、従来から知られるように、衛星系システムと通信し、電気装置1800の位置を受信してもよい。
電気装置1800は、別の出力装置1810(または前述の対応するインターフェース回路)を有してもよい。別の出力装置1810の例は、音声コーデック、ビデオコーデック、プリンタ、他の装置への情報提供用の有線もしくは無線トランスミッタ、または追加の貯蔵装置を含んでもよい。
電気装置1800は、別の入力装置1820(または前述の対応するインターフェース回路)を有してもよい。別の入力装置1820の例は、加速度計、ジャイロスコープ、コンパス、イメージキャプチャ装置、キーボード、マウス、スタイラス、タッチパッドのようなカーソル制御装置、バーコードリーダ、クイックレスポンス(QR)コードリーダ、任意のセンサ、または無線周波数同定(RFID)リーダを含んでもよい。
電気装置1800は、計算装置、または手持ち、携帯用もしくは移動用の電気装置(例えば、携帯電話、スマートフォン、モバイルインターネット装置、音楽プレイヤ、タブレットコンピュータ、ラップトップコンピュータ、ネットブックコンピュータ、ウルトラブックコンピュータ、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルコンピュータ等)、デスクトップ電気装置、サーバ、または他のネットワーク計算部材、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメント制御ユニット、車両制御ユニット、デジタルカメラ、デジタルビデオリコーダ、またはウェアラブル電気装置のような、いかなる所望の形態因子を有してもよい。ある実施形態では、電気装置1800は、データを処理するいかなる他の電気装置であってもよい。
以下の段落には、開示の実施形態の各種例を示す。
例1は、小型電子アセンブリであり、第1の表面および対向する第2の表面を有するパッケージ基板;第1の表面および対向する第2の表面を有する第1のダイであって、第1の誘電体層に埋設され、第1のダイの第1の表面は、第1のインターコネクトにより、パッケージ基板の第2の表面に結合される、第1のダイ;第1の表面および対向する第2の表面を有する第2のダイであって、第2の誘電体層に埋設され、第2のダイの第1の表面は、第2のインターコネクトにより、第1のダイの第2の表面に結合される、第2のダイ;ならびに第1の表面および反対側の第2の表面を有する第3のダイであって、第3の誘電体層に埋設され、第3のダイの第1の表面は、第3のインターコネクトにより、第2のダイの第2の表面に結合される、第3のダイ;を含む。
例2は、例1の主題を有し、さらに、第2のダイの第1の表面は、第4のインターコネクトにより、パッケージ基板の第2の表面に結合されるように特定されてもよい。
例3は、例2の主題を含み、さらに、第4のインターコネクトが導電性フィラーを含むように特定されてもよい。
例4は、例3の主題を含み、さらに、個々の導電性フィラーが50μmと400μmの間の直径を有するように特定されてもよい。
例5は、例1の主題を含み、さらに、ダイ5のインターコネクトにより、第3のダイの第1の表面がパッケージ基板の第2の表面に結合されるように特定されてもよい。
例6は、例5の主題を含み、さらに、第5のインターコネクトが導電性ピラーを有するように特定されてもよい。
例7は、例6の主題を含み、さらに、個々の導電性ピラーが50μmと400μmの間の直径を有するように特定されてもよい。
例8は、例1の主題を含み、さらに、第6のインターコネクトにより、第3のダイの第1の表面が第1のダイの第2の表面に結合されるように特定されてもよい。
例9は、例8の主題を含み、さらに、第6のインターコネクトが導電性ピラーを含むように特定されてもよい。
例10は、例9の主題を含み、さらに、個々の導電性ピラーが10μmと300μmの間の直径を有するように特定されてもよい。
例11は、例1の主題を含み、さらに、第4のダイは、第1の表面および対向する第2の表面を有し、第4のダイは、第1の誘電体層に埋設され、第4のダイの第1の表面は、第7のインターコネクトにより、パッケージ基板の第2の表面に結合され、第4のダイの第2の表面は、第8のインターコネクトにより、第2のダイの第1の表面に結合されるように特定されてもよい。
例12は、例11主題を含み、さらに、第2のインターコネクトのピッチが第8のインターコネクトのピッチとは異なるように特定されてもよい。
例13は、例1の主題を含み、さらに、第1の表面および対向する第2の表面を有する第5のダイを有し、第5のダイは、第3の誘電体材料に埋設され、第5のダイの第1の表面は、第9のインターコネクトにより、第2のダイの第2の表面に結合されてもよい。
例14は、例13の主題を含み、さらに、第3のインターコネクトのピッチは、第9のインターコネクトのピッチとは異なるように特定されてもよい。
例15は、例13の主題を含み、さらに、第3のダイの厚さは、第5のダイの厚さとは異なるように特定されてもよい。
例16は、例1の主題を含み、さらに、第1の表面および対向する第2の表面を有する第6のダイを含み、第6のダイは、第4の誘電体層に埋設され、第6のダイの第1の表面は、第10のインターコネクトにより、第3のダイの第2の表面に結合されてもよい。
例17は、例1の主題を含み、さらに、第1の誘電体層と第2の誘電体層の間、または第2の誘電体層と第3の誘電体層の間に、再分配層を含んでもよい。
例18は、例1の主題を含み、さらに、第1のインターコネクトのピッチは、第2のインターコネクトのピッチと異なるように特定されてもよい。
例19は、例1の主題を含み、さらに、第2のインターコネクトのピッチは、第3のインターコネクトのピッチと異なるように特定されてもよい。
例20は、例1の主題を含み、さらに、第1のインターコネクトは、200μmと800μmの間のピッチを有するように特定されてもよい。
例21は、例1の主題を含み、さらに、第2のインターコネクトは、5μmと100μmの間のピッチを有するように特定されてもよい。
例22は、例1の主題を含み、さらに、第3のインターコネクトは、5μmと100μmの間のピッチを有するように特定されてもよい。
例23は、例1の主題を含み、さらに、第2のダイは、0.5mmと5mmの間の距離だけ、第1のダイと重なり合うように特定されてもよい。
例24は、例1の主題を含み、さらに、第3のダイは、0.5mmと5mmの間の距離だけ、第2のダイと重なり合うように特定されてもよい。
例25は、例1の主題を含み、さらに、第1のインターコネクト、第2のインターコネクト、または第3のインターコネクトは、はんだを含むように特定されてもよい。
例26は、例1の主題を含み、さらに、第1のインターコネクト、第2のインターコネクト、または第3のインターコネクトは、異方性導電性材料を含むように特定されてもよい。
例27は、例1の主題を含み、さらに、第1のインターコネクト、第2のインターコネクト、または第3のインターコネクトは、めっきされたインターコネクトを含むように特定されてもよい。
例28は、例1の主題を含み、さらに、第1のインターコネクト、第2のインターコネクト、または第3のインターコネクトは、アンダーフィル材料を含むように特定されてもよい。
例29は、例1の主題を含み、さらに、第1のダイは、ダブルサイドダイであるように特定されてもよい。
例30は、例1の主題を含み、さらに、第2のダイは、ダブルサイドダイであるように特定されてもよい。
例31は、例1の主題を含み、さらに、第3のダイは、ダブルサイドダイであるように特定されてもよい。
例32は、例1の主題を含み、さらに、第3のダイは、シングルサイドダイであるように特定されてもよい。
例33は、例1の主題を含み、さらに、第1のダイまたは第3のダイは、中央処理ユニットであるように特定されてもよい。
例34は、例1の主題を含み、さらに、第2のダイは、メモリ装置を有するように特定されてもよい。
例35は、例1の主題を含み、さらに、第2のダイは、高バンド幅メモリ装置であるように特定されてもよい。
例36は、例1の主題を含み、さらに、パッケージ基板は、印刷回路基盤であるように特定されてもよい。
例37は、例1の主題を含み、さらに、小型電子アセンブリは、サーバ装置に含まれるように特定されてもよい。
例38は、例1の主題を含み、さらに、小型電子アセンブリは、携帯型計算装置に含まれるように特定されてもよい。
例39は、例1の主題を含み、さらに、小型電子アセンブリは、ウェアラブル計算装置に含まれるように特定されてもよい。
例40は、小型電子アセンブリを含む計算装置である。小型電子アセンブリは、第1の表面および対向する第2の表面を有するパッケージ基板;第1の表面および対向する第2の表面を有する第1のダイであって、第1の誘電体層に埋設され、第1のダイの第1の表面は、第1のインターコネクトにより、パッケージ基板の第2の表面に結合される、第1のダイ;第1の表面および対向する第2の表面を有する第2のダイであって、第2の誘電体層に埋設され、第2のダイの第1の表面は、第2のインターコネクトにより、第1のダイの第2の表面に結合される、第2のダイ;ならびに第1の表面および反対側の第2の表面を有する第3のダイであって、第3の誘電体材料に埋設され、第3のダイの第1の表面は、第3のインターコネクトにより、第2のダイの第2の表面に結合される、第3のダイを有する。
例41は、例40の主題を含み、さらに、第2のダイの第1の表面は、第4のインターコネクトにより、パッケージ基板の第2の表面に結合されるように特定されてもよい。
例42は、例41の主題を含み、さらに、第4のインターコネクトは、導電性フィラーを含むように特定されてもよい。
例43は、例42の主題を含み、さらに、個々の導電性フィラーが50μmと400μmの間の直径を有するように特定されてもよい。
例44は、例40の主題を含み、さらに、第5のインターコネクトにより、第3のダイの第1の表面がパッケージ基板の第2の表面に結合されるように特定されてもよい。
例45は、例44の主題を含み、さらに、第5のインターコネクトが導電性ピラーを有するように特定されてもよい。
例46は、例45の主題を含み、さらに、個々の導電性ピラーが50μmと400μmの間の直径を有するように特定されてもよい。
例47は、例40の主題を含み、さらに、第6のインターコネクトにより、第3のダイの第1の表面が第1のダイの第2の表面に結合されるように特定されてもよい。
例48は、例47の主題を含み、さらに、第6のインターコネクトが導電性ピラーを含むように特定されてもよい。
例49は、例48の主題を含み、さらに、個々の導電性ピラーが10μmと300μmの間の直径を有するように特定されてもよい。
例50は、例40の主題を含み、さらに、第1の誘電体層と第2の誘電体層の間、または第2の誘電体層と第3の誘電体層の間に、再分配層を含んでもよい。
例51は、例40の主題を含み、さらに、第1のインターコネクトのピッチは、第2のインターコネクトのピッチと異なるように特定されてもよい。
例52は、例40の主題を含み、さらに、第2のインターコネクトのピッチは、第3のインターコネクトのピッチと異なるように特定されてもよい。
例53は、例40の主題を含み、さらに、第1のインターコネクトは、200μmと800μmの間のピッチを有するように特定されてもよい。
例54は、例40の主題を含み、さらに、第2のインターコネクトは、5μmと100μmの間のピッチを有するように特定されてもよい。
例55は、例40の主題を含み、さらに、第3のインターコネクトは、5μmと100μmの間のピッチを有するように特定されてもよい。
例56は、小型電子アセンブリであり、第1の表面および対向する第2の表面を有する第1のダイであって、第1の誘電体層に埋設される、第1のダイ;第1の表面および対向する第2の表面を有する第2のダイであって、第2の誘電体層に埋設され、第2のダイの第1の表面は、第1のインターコネクトにより、第1のダイの第2の表面に結合される、第2のダイ;ならびに第1の表面および反対側の第2の表面を有する第3のダイであって、第3の誘電体材料に埋設され、第3のダイの第1の表面は、第2のインターコネクトにより、第1のダイの第2の表面に結合され、第2のインターコネクトは、導電性ピラーを有する、第3のダイ;を含む。
例57は、例56の主題を含み、さらに、個々の導電性ピラーは、10μmと300μmの間の直径を有するように特定されてもよい。
例58は、例56の主題を含み、さらに、第1のインターコネクトのピッチは、第2のインターコネクトのピッチと異なるように特定されてもよい。
例59は、例56の主題を含み、さらに、第1のインターコネクトは、5μmと100μmの間のピッチを有するように特定されてもよい。
例60は、例56の主題を含み、さらに、第2のインターコネクトは、200μmと800μmの間のピッチを有するように特定されてもよい。
例61は、例56の主題を含み、さらに、第1の誘電体層と第2の誘電体層の間、または第2の誘電体層と第3の誘電体層の間に、再分配層を含んでもよい。
例62は、例56の主題を含み、さらに、表面を有する第4のダイを含み、第4のダイは、第4の誘電体層に埋設され、第4のダイの表面は、第3のインターコネクトにより、第2のダイの第2の表面、または第1のダイの第2の表面に結合され、第3のインターコネクトは、導電性ピラーを有してもよい。
例63は、小型電子アセンブリを製造する方法であり、第1のダイと第2のダイの間に、第1のインターコネクトを形成するステップであって、第1のダイは、第1の導電性コンタクトを有する第1の表面、および第2の導電性コンタクトを有する対向する第2の表面を有し、第2のダイは、第1の導電性コンタクトを有する第1の表面、および第2の導電性コンタクトを有する対向する第2の表面を有し第1のインターコネクトは、第1のダイの第2の導電性コンタクトを、第2のダイの第1の導電性コンタクトに結合する、ステップと;第2のダイと第3のダイの間に、第2のインターコネクトを形成するステップであって、第3のダイは、導電性コンタクトを有する第1の表面、および対向する第2の表面を有し、第2のインターコネクトは、第2のダイの第2の導電性コンタクトを、第3のダイの導電性コンタクトに結合する、ステップと;第1のダイと第3のダイの間に、第3のインターコネクトを形成するステップであって、第3のインターコネクトは、第3のダイの導電性コンタクトを、第1のダイの第2の導電性コンタクトに結合する、ステップと;を含む。
例64は、例63の主題を含み、さらに、第3のインターコネクトは、導電性ピラーを有するように特定されてもよい。
例65は、例64の主題を含み、さらに、導電性ピラーは、フォトレジスト材料を成膜しパターン化し、1または2以上の開口を形成するステップと、1または2以上の開口に導電性材料を設置するステップと、フォトレジスト材料を除去するステップと、により形成されるように特定されてもよい。
例66は、例63の主題を含み、さらに、第1のインターコネクトまたは第2のインターコネクトは、はんだを含まないように特定されてもよい。
例67は、例63の主題を含み、さらに、第1のインターコネクトまたは第2のインターコネクトは、金属-金属インターコネクトを有するように特定されてもよい。
例68は、例63の主題を含み、さらに、第1のインターコネクトまたは第2のインターコネクトは、異方性導電性材料を有するように特定されてもよい。
例69は、例63の主題を含み、さらに、第1のインターコネクトのピッチは、第2のインターコネクトのピッチとは異なるように特定されてもよい。
例70は、例63の主題を含み、さらに、第2のインターコネクトのピッチは、第3のインターコネクトのピッチとは異なるように特定されてもよい。
例71は、例63の主題を含み、さらに、第1のダイと第2のダイの間、または第2のダイと第3のダイの間に、再分配層を形成するステップを含んでもよい。
例72は、例63の主題を含み、さらに、第1のダイとパッケージ基板の間に、第4のインターコネクトを形成するステップを有し、第4のインターコネクトは、第1のダイ上の第1の導電性コンタクトを、パッケージ基板の表面の導電性コンタクトに結合してもよい。

Claims (9)

  1. 小型電子アセンブリであって、
    (i)複数の再分配層を有する再分配構造を含み、(ii)ダイを支持するパッケージ基板と、
    前記再分配構造の表面に導電的に結合された第1のダイと、
    前記再分配構造の前記表面に導電的に結合された第2のダイと、
    前記再分配構造の前記表面に導電的に結合された銅ピラーと、
    経路構造と、
    前記経路構造に導電的に結合された第3のダイと、
    を有し、
    前記第3のダイの部分が、前記第1のダイの一端を超えて延びており、
    前記銅ピラーは、少なくとも一部が前記第1のダイおよび前記第2のダイと同一表面上にあり、
    前記銅ピラーは、前記再分配構造と前記経路構造の間にあり、少なくとも1つの前記銅ピラー全体が、前記再分配構造から前記第3のダイの前記部分に導電的に結合され、
    前記第1のダイは、少なくとも一部が前記第3のダイと前記再分配構造の間にあり、
    前記第3のダイは、はんだにより、前記経路構造に結合される、小型電子アセンブリ。
  2. 当該小型電子アセンブリの厚さは、100μmと2000μmの間である、請求項1に記載の小型電子アセンブリ。
  3. さらに、
    前記銅ピラーの周囲にモールド材料を有する、請求項1または2に記載の小型電子アセンブリ。
  4. 前記第1のダイ、前記第2のダイ、および前記第3のダイの少なくとも一つは、メモリーダイである、請求項1乃至3のいずれか一項に記載の小型電子アセンブリ。
  5. 前記第1のダイ、前記第2のダイ、および前記第3のダイの少なくとも一つは、入力/出力ダイである、請求項1乃至4のいずれか一項に記載の小型電子アセンブリ。
  6. 前記銅ピラーは、100μmから300μmの間のピッチを有する、請求項1乃至5のいずれか一項に記載の小型電子アセンブリ。
  7. 前記経路構造は、積層されている、請求項1乃至6のいずれか一項に記載の小型電子アセンブリ。
  8. 前記経路構造は、複数の経路層を有する、請求項1乃至7のいずれか一項に記載の小型電子アセンブリ。
  9. 前記第2のダイは、前記第1のダイとは異なる厚さを有する、請求項1乃至8のいずれか一項に記載の小型電子アセンブリ。
JP2020545627A 2018-06-14 2019-05-14 小型電子アセンブリ Active JP7282794B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023059898A JP2023098916A (ja) 2018-06-14 2023-04-03 小型電子アセンブリ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/008,879 US11469206B2 (en) 2018-06-14 2018-06-14 Microelectronic assemblies
US16/008,879 2018-06-14
PCT/US2019/032159 WO2019240901A1 (en) 2018-06-14 2019-05-14 Microelectronic assemblies

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023059898A Division JP2023098916A (ja) 2018-06-14 2023-04-03 小型電子アセンブリ

Publications (2)

Publication Number Publication Date
JP2021526309A JP2021526309A (ja) 2021-09-30
JP7282794B2 true JP7282794B2 (ja) 2023-05-29

Family

ID=68840278

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545627A Active JP7282794B2 (ja) 2018-06-14 2019-05-14 小型電子アセンブリ
JP2023059898A Pending JP2023098916A (ja) 2018-06-14 2023-04-03 小型電子アセンブリ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023059898A Pending JP2023098916A (ja) 2018-06-14 2023-04-03 小型電子アセンブリ

Country Status (7)

Country Link
US (3) US11469206B2 (ja)
EP (2) EP3807932A4 (ja)
JP (2) JP7282794B2 (ja)
KR (3) KR102552325B1 (ja)
CN (2) CN111902933A (ja)
TW (2) TWI829688B (ja)
WO (1) WO2019240901A1 (ja)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366968B2 (en) * 2016-09-30 2019-07-30 Intel IP Corporation Interconnect structure for a microelectronic device
US10757800B1 (en) 2017-06-22 2020-08-25 Flex Ltd. Stripline transmission lines with cross-hatched pattern return plane, where the striplines do not overlap any intersections in the cross-hatched pattern
WO2019066998A1 (en) * 2017-09-30 2019-04-04 Intel Corporation STACKED HOUSING WITH ELECTRICAL CONNECTIONS CREATED BY HIGH-FLOW ADDITIVE MANUFACTURE
WO2019132967A1 (en) 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
US11494682B2 (en) 2017-12-29 2022-11-08 Intel Corporation Quantum computing assemblies
EP4235784A3 (en) 2017-12-29 2023-10-04 INTEL Corporation Microelectronic assemblies with communication networks
US11342320B2 (en) 2017-12-29 2022-05-24 Intel Corporation Microelectronic assemblies
CN111164751A (zh) 2017-12-29 2020-05-15 英特尔公司 微电子组件
US10361162B1 (en) * 2018-01-23 2019-07-23 Globalfoundries Singapore Pte. Ltd. Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same
US11039531B1 (en) 2018-02-05 2021-06-15 Flex Ltd. System and method for in-molded electronic unit using stretchable substrates to create deep drawn cavities and features
US11735570B2 (en) * 2018-04-04 2023-08-22 Intel Corporation Fan out packaging pop mechanical attach method
US11469206B2 (en) 2018-06-14 2022-10-11 Intel Corporation Microelectronic assemblies
JP2020013877A (ja) * 2018-07-18 2020-01-23 太陽誘電株式会社 半導体モジュール
WO2020033632A2 (en) * 2018-08-08 2020-02-13 Kuprion Inc. Electronic assemblies employing copper in multiple locations
US20200051956A1 (en) * 2018-08-09 2020-02-13 Intel Corporation Fine pitch z connections for flip chip memory architectures with interposer
US11114311B2 (en) * 2018-08-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10964660B1 (en) 2018-11-20 2021-03-30 Flex Ltd. Use of adhesive films for 3D pick and place assembly of electronic components
US10896877B1 (en) * 2018-12-14 2021-01-19 Flex Ltd. System in package with double side mounted board
US11476200B2 (en) * 2018-12-20 2022-10-18 Nanya Technology Corporation Semiconductor package structure having stacked die structure
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11352252B2 (en) 2019-06-21 2022-06-07 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device and method of manufacturing semiconductor device
US11257776B2 (en) * 2019-09-17 2022-02-22 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method for manufacturing the same
US11211371B2 (en) * 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
DE102020114141B4 (de) 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungspackage und verfahren
US11387222B2 (en) * 2019-10-18 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US20210134690A1 (en) * 2019-11-01 2021-05-06 Advanced Semiconductor Engineering, Inc. Semiconductor device packages and methods of manufacturing the same
US11062947B1 (en) * 2019-12-19 2021-07-13 Intel Corporation Inorganic dies with organic interconnect layers and related structures
US11049791B1 (en) * 2019-12-26 2021-06-29 Intel Corporation Heat spreading layer integrated within a composite IC die structure and methods of forming the same
US11239174B2 (en) * 2019-12-27 2022-02-01 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method for manufacturing the same
TWI768294B (zh) * 2019-12-31 2022-06-21 力成科技股份有限公司 封裝結構及其製造方法
KR20210087299A (ko) * 2020-01-02 2021-07-12 삼성전기주식회사 고주파 모듈 및 이를 포함하는 전자기기
US11557568B2 (en) * 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
KR20210110008A (ko) * 2020-02-28 2021-09-07 삼성전자주식회사 반도체 패키지
DE102021104688A1 (de) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Stromverteilungsstruktur und verfahren
US20210407903A1 (en) * 2020-06-26 2021-12-30 Intel Corporation High-throughput additively manufactured power delivery vias and traces
US11830817B2 (en) 2020-08-12 2023-11-28 Advanced Micro Devices, Inc. Creating interconnects between dies using a cross-over die and through-die vias
TWI722959B (zh) 2020-08-20 2021-03-21 欣興電子股份有限公司 晶片封裝結構
US11990448B2 (en) 2020-09-18 2024-05-21 Intel Corporation Direct bonding in microelectronic assemblies
KR20220042028A (ko) * 2020-09-25 2022-04-04 삼성전자주식회사 반도체 패키지
US11552055B2 (en) * 2020-11-20 2023-01-10 Qualcomm Incorporated Integrated circuit (IC) packages employing front side back-end-of-line (FS-BEOL) to back side back-end-of-line (BS-BEOL) stacking for three-dimensional (3D) die stacking, and related fabrication methods
TWI762046B (zh) * 2020-11-24 2022-04-21 恆勁科技股份有限公司 半導體封裝結構及其製造方法
US11616019B2 (en) * 2020-12-21 2023-03-28 Nvidia Corp. Semiconductor assembly
US11769731B2 (en) 2021-01-14 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd Architecture for computing system package
US20220256722A1 (en) * 2021-02-05 2022-08-11 Advanced Semiconductor Engineering, Inc. Electronic device package and method of manufacturing the same
JP2022135735A (ja) * 2021-03-05 2022-09-15 キオクシア株式会社 半導体装置およびその製造方法
JP7410898B2 (ja) * 2021-03-11 2024-01-10 アオイ電子株式会社 半導体装置の製造方法および半導体装置
US20220367413A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packages With Multiple Types of Underfill and Method Forming The Same
US11823980B2 (en) * 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US20230060265A1 (en) * 2021-08-28 2023-03-02 Taiwan Semiconductor Manufacturing Company Limited Three-dimensional integrated circuit
TWI798805B (zh) * 2021-09-01 2023-04-11 恆勁科技股份有限公司 半導體封裝載板及其製造方法
US20230170328A1 (en) * 2021-11-30 2023-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Shared pad/bridge layout for a 3d ic
US20230187407A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Fine-grained disaggregated server architecture
WO2024011603A1 (zh) * 2022-07-15 2024-01-18 华为技术有限公司 芯片封装结构、电子设备及芯片封装结构的封装方法
WO2024029138A1 (ja) * 2022-08-01 2024-02-08 株式会社村田製作所 複合部品デバイスおよびその製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007287803A (ja) 2006-04-13 2007-11-01 Sony Corp 三次元半導体パッケージ製造方法
US20100290191A1 (en) 2009-05-14 2010-11-18 Megica Corporation System-in packages
CN103168358A (zh) 2010-07-20 2013-06-19 马维尔国际贸易有限公司 嵌入式结构及其制造方法
US20160315071A1 (en) 2015-04-23 2016-10-27 Apple Inc. Three layer stack structure
US20170365587A1 (en) 2016-06-17 2017-12-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6150724A (en) 1998-03-02 2000-11-21 Motorola, Inc. Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US6084308A (en) 1998-06-30 2000-07-04 National Semiconductor Corporation Chip-on-chip integrated circuit package and method for making the same
US6659512B1 (en) 2002-07-18 2003-12-09 Hewlett-Packard Development Company, L.P. Integrated circuit package employing flip-chip technology and method of assembly
JP4581768B2 (ja) 2005-03-16 2010-11-17 ソニー株式会社 半導体装置の製造方法
TWI429066B (zh) 2005-06-02 2014-03-01 Sony Corp Semiconductor image sensor module and manufacturing method thereof
US8335084B2 (en) 2005-08-01 2012-12-18 Georgia Tech Research Corporation Embedded actives and discrete passives in a cavity within build-up layers
JP3942190B1 (ja) 2006-04-25 2007-07-11 国立大学法人九州工業大学 両面電極構造の半導体装置及びその製造方法
US8227904B2 (en) 2009-06-24 2012-07-24 Intel Corporation Multi-chip package and method of providing die-to-die interconnects in same
US8354297B2 (en) * 2010-09-03 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming different height conductive pillars to electrically interconnect stacked laterally offset semiconductor die
US9337116B2 (en) 2010-10-28 2016-05-10 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die
TWI538071B (zh) 2010-11-16 2016-06-11 星科金朋有限公司 具連接結構之積體電路封裝系統及其製造方法
KR20120110451A (ko) * 2011-03-29 2012-10-10 삼성전자주식회사 반도체 패키지
US9087701B2 (en) 2011-04-30 2015-07-21 Stats Chippac, Ltd. Semiconductor device and method of embedding TSV semiconductor die within substrate for vertical interconnect in POP
US9978656B2 (en) 2011-11-22 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming fine-pitch copper bump structures
US8686570B2 (en) 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same
US8981511B2 (en) 2012-02-29 2015-03-17 Semiconductor Components Industries, Llc Multi-chip package for imaging systems
CN102593087B (zh) 2012-03-01 2014-09-03 华进半导体封装先导技术研发中心有限公司 一种用于三维集成混合键合结构及其键合方法
US9526175B2 (en) 2012-04-24 2016-12-20 Intel Corporation Suspended inductor microelectronic structures
US9136236B2 (en) 2012-09-28 2015-09-15 Intel Corporation Localized high density substrate routing
US9349703B2 (en) 2013-09-25 2016-05-24 Intel Corporation Method for making high density substrate interconnect using inkjet printing
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
US9805997B2 (en) 2014-01-27 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices with encapsulant ring
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
KR102167599B1 (ko) * 2014-03-04 2020-10-19 에스케이하이닉스 주식회사 칩 스택 임베디드 패키지
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9318452B2 (en) 2014-03-21 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US9859265B2 (en) 2014-06-06 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of forming the same
KR102245003B1 (ko) 2014-06-27 2021-04-28 삼성전자주식회사 오버행을 극복할 수 있는 반도체 패키지 및 그 제조방법
US9704735B2 (en) 2014-08-19 2017-07-11 Intel Corporation Dual side solder resist layers for coreless packages and packages with an embedded interconnect bridge and their methods of fabrication
US9406799B2 (en) 2014-10-21 2016-08-02 Globalfoundries Inc. High mobility PMOS and NMOS devices having Si—Ge quantum wells
US9812429B2 (en) 2014-11-05 2017-11-07 Massachusetts Institute Of Technology Interconnect structures for assembly of multi-layer semiconductor devices
KR102203669B1 (ko) 2014-11-24 2021-01-14 에스케이하이닉스 주식회사 NoC 구조의 반도체 장치 및 그의 라우팅 방법
US20160155723A1 (en) 2014-11-27 2016-06-02 Chengwei Wu Semiconductor package
US9634053B2 (en) 2014-12-09 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor chip sidewall interconnection
US10181410B2 (en) 2015-02-27 2019-01-15 Qualcomm Incorporated Integrated circuit package comprising surface capacitor and ground plane
US10134972B2 (en) 2015-07-23 2018-11-20 Massachusetts Institute Of Technology Qubit and coupler circuit structures and coupling techniques
US9917072B2 (en) 2015-09-21 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process
US9842813B2 (en) 2015-09-21 2017-12-12 Altera Corporation Tranmission line bridge interconnects
WO2017052653A1 (en) 2015-09-25 2017-03-30 Intel Corporation Selective die transfer using controlled de-bonding from a carrier wafer
US9761533B2 (en) 2015-10-16 2017-09-12 Xilinx, Inc. Interposer-less stack die interconnect
KR102399465B1 (ko) 2015-10-23 2022-05-18 삼성전자주식회사 로직 반도체 소자
US10396269B2 (en) 2015-11-05 2019-08-27 Massachusetts Institute Of Technology Interconnect structures for assembly of semiconductor structures including superconducting integrated circuits
US9984998B2 (en) * 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
JP6449798B2 (ja) 2016-01-26 2019-01-09 太陽誘電株式会社 積層セラミック電子部品及びその製造方法、並びにセラミック素体
WO2017213649A1 (en) 2016-06-09 2017-12-14 Intel Corporation Quantum dot devices with double quantum well structures
US10340206B2 (en) * 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
US10748872B2 (en) 2017-08-22 2020-08-18 Micron Technology, Inc. Integrated semiconductor assemblies and methods of manufacturing the same
WO2019132965A1 (en) 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
WO2019132961A1 (en) 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
US11342320B2 (en) 2017-12-29 2022-05-24 Intel Corporation Microelectronic assemblies
WO2019132967A1 (en) 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
EP4235784A3 (en) 2017-12-29 2023-10-04 INTEL Corporation Microelectronic assemblies with communication networks
US11494682B2 (en) 2017-12-29 2022-11-08 Intel Corporation Quantum computing assemblies
CN111164751A (zh) 2017-12-29 2020-05-15 英特尔公司 微电子组件
US11469206B2 (en) 2018-06-14 2022-10-11 Intel Corporation Microelectronic assemblies
US10826492B2 (en) 2018-08-31 2020-11-03 Xilinx, Inc. Power gating in stacked die structures
US11056453B2 (en) 2019-06-18 2021-07-06 Deca Technologies Usa, Inc. Stackable fully molded semiconductor structure with vertical interconnects

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007287803A (ja) 2006-04-13 2007-11-01 Sony Corp 三次元半導体パッケージ製造方法
US20100290191A1 (en) 2009-05-14 2010-11-18 Megica Corporation System-in packages
CN102439719A (zh) 2009-05-14 2012-05-02 米辑电子股份有限公司 系统级封装
JP2012527127A (ja) 2009-05-14 2012-11-01 メギカ・コーポレイション システムインパッケージ
US20140106508A1 (en) 2010-03-18 2014-04-17 Marvell World Trade Ltd. Structures embedded within core material and methods of manufacturing thereof
CN103168358A (zh) 2010-07-20 2013-06-19 马维尔国际贸易有限公司 嵌入式结构及其制造方法
JP2013538445A (ja) 2010-07-20 2013-10-10 マーベル ワールド トレード リミテッド 埋め込み構造およびその製造方法
US20160315071A1 (en) 2015-04-23 2016-10-27 Apple Inc. Three layer stack structure
CN107533985A (zh) 2015-04-23 2018-01-02 苹果公司 包括第一级裸片、背对背堆叠的第二级裸片和第三级裸片以及对应的第一再分配层、第二再分配层和第三再分配层的竖直堆叠系统级封装及其制造方法
JP2018514088A (ja) 2015-04-23 2018-05-31 アップル インコーポレイテッド 第1のレベルのダイと、背中合わせに積み重ねられた第2のレベルのダイと、第3のレベルのダイとを備え、対応する第1、第2、及び第3の再配線層を有する垂直スタックシステムインパッケージ、並びにその製造方法
US20170365587A1 (en) 2016-06-17 2017-12-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
CN107527882A (zh) 2016-06-17 2017-12-29 台湾积体电路制造股份有限公司 半导体封装和其制造方法

Also Published As

Publication number Publication date
KR20230151075A (ko) 2023-10-31
TW202013652A (zh) 2020-04-01
KR102552325B1 (ko) 2023-07-07
KR102594483B1 (ko) 2023-10-30
CN111902933A (zh) 2020-11-06
US20210111156A1 (en) 2021-04-15
EP3807932A4 (en) 2022-03-16
KR20210010431A (ko) 2021-01-27
EP3807932A1 (en) 2021-04-21
JP2021526309A (ja) 2021-09-30
CN115954352A (zh) 2023-04-11
EP4181191A3 (en) 2023-09-27
TWI829688B (zh) 2024-01-21
US11616047B2 (en) 2023-03-28
JP2023098916A (ja) 2023-07-11
TW202318610A (zh) 2023-05-01
EP4181191A2 (en) 2023-05-17
US20230133235A1 (en) 2023-05-04
WO2019240901A1 (en) 2019-12-19
US20190385977A1 (en) 2019-12-19
US11469206B2 (en) 2022-10-11
KR20230011436A (ko) 2023-01-20

Similar Documents

Publication Publication Date Title
JP7282794B2 (ja) 小型電子アセンブリ
US11901330B2 (en) Microelectronic assemblies
US20240128255A1 (en) Microelectronic assemblies
JP7458969B2 (ja) モールド貫通ビアを有する成形領域を有するマイクロ電子コンポーネント
US11557579B2 (en) Microelectronic assemblies having an integrated capacitor
US11508587B2 (en) Microelectronic assemblies
US20220093515A1 (en) Embedded multi-die interconnect bridge having a molded region with through-mold vias
EP4199069A1 (en) Microelectronic assemblies with glass substrates and thin film capacitors
EP4152366A2 (en) Microelectronic assemblies including solder and non-solder interconnects
US20220392855A1 (en) Microelectronic assemblies having integrated thin film capacitors
TW202301591A (zh) 具有頂側電力輸送結構之微電子總成
TW202329391A (zh) 包括電橋的微電子組件
CN116266585A (zh) 具有氮化硅多层的微电子组件
CN117581364A (zh) 直接附接到电路板的微电子组件
CN116314090A (zh) 包括采用不同焊料材料的互连的微电子组件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210128

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221027

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221027

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221116

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230418

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230517

R150 Certificate of patent or registration of utility model

Ref document number: 7282794

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150