JP6710686B2 - 中空陰極プラズマ源、基材処理方法 - Google Patents

中空陰極プラズマ源、基材処理方法 Download PDF

Info

Publication number
JP6710686B2
JP6710686B2 JP2017529720A JP2017529720A JP6710686B2 JP 6710686 B2 JP6710686 B2 JP 6710686B2 JP 2017529720 A JP2017529720 A JP 2017529720A JP 2017529720 A JP2017529720 A JP 2017529720A JP 6710686 B2 JP6710686 B2 JP 6710686B2
Authority
JP
Japan
Prior art keywords
plasma
plasma source
hollow cathode
cross
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017529720A
Other languages
English (en)
Other versions
JP2018500734A (ja
Inventor
ビケット,トーマス
マスクィッツ,ピーター
チェンバーズ,ジョン
ワイアム,ヒューズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AGC Flat Glass North America Inc
Original Assignee
AGC Flat Glass North America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AGC Flat Glass North America Inc filed Critical AGC Flat Glass North America Inc
Publication of JP2018500734A publication Critical patent/JP2018500734A/ja
Application granted granted Critical
Publication of JP6710686B2 publication Critical patent/JP6710686B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/48Generating plasma using an arc
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/48Generating plasma using an arc
    • H05H1/481Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/466Radiofrequency discharges using capacitive coupling means, e.g. electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2240/00Testing
    • H05H2240/10Testing at atmospheric pressure
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2245/00Applications of plasma devices
    • H05H2245/40Surface treatments
    • H05H2245/42Coating or etching of large items

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Treatment Of Fiber Materials (AREA)
  • Chemical Vapour Deposition (AREA)

Description

関連出願へのクロスリファレンス
本出願は、本出願と同日に出願され、本明細書に援用される、発明の名称が「Plasma Source Utilizing a Macro−Particle Reduction Coating and Method of Using a Plasma Source Utilizing a Macro−Particle Reduction Coating for Deposition of Thin Film Coatings and Modification of Surfaces」であるPCT国際出願第____号(未譲渡)(代理人整理番号0124−374.PCT)に関する。
本発明は、大きな基材の表面処理および/またはコーティング用のプラズマ源に関する。特に、本発明は、プラズマ強化化学蒸着およびプラズマ表面処理用のリニアプラズマ源、特に中空陰極タイプの放電を利用したプラズマ源に関する。
薄膜の付着および表面の化学的改質のための様々なプラズマ源が、従来技術において開示されている。
大きな基材を処理する場合、これらのプラズマ源は一般に、Madocksに付与された米国特許第7411352号に開示されているようなリニアイオン源である。このプラズマ源はマグネトロン放電を利用しており、リニアイオンビームを発生させるか、複数のプラズマ源の組み合わせによって、基材の表面に向けられる複数の平行なイオンビームを発生させる。Madocksは、コーティング目的で、プラズマ源の外でコーティング原料を提供できることを開示している。プラズマは本質的に一次元すなわち、プラズマ源の長さに沿ってのみ延びる。イオンビームの幅は、平均自由行程長を制限するプロセスチャンバ内の圧力による制約を受ける。このため、このプラズマ源の下で基材を搬送するとき、接触時間は比較的短い。従って、例えばプラズマで基材を処理する時間を長くする必要がある場合には、プラズマ源の数を増やさなければならなくなる。さらに、プラズマ源に隣接して注入されるコーティング原料には、プラズマビームと相互作用する機会が限られる。この結果、付着率が比較的低くなり、基材表面と反応できなかった原料でコーターを汚してしまう危険性が増す。
また、Madocksは、電極材料のスパッタリングが起こり、スパッタされた材料が再付着してプラズマ源の中にとどまることを開示している。しかしながら、電極材料がスパッタリングされると、電極の寿命が短くなる。スパッタされた材料の再付着によって、プラズマ源のノズルがふさがり、均一な基材処理またはコーティングが不可能になるおそれもある。さらに、スパッタリングされた電極材料がさらに凝縮および/または反応して、プラズマ源のノズルをふさいだり、基材上に落ちて欠陥を生じたりするデブリが形成される場合がある。これらのノズルは、プラズマ源の電極のうちの1つによって構成されている。このため電極は、真空チャンバ内のコーティングプロセス環境に曝露され、よって、注入されたコーティング原料で汚れやすい。
さらに、Madocksによって開示されたマグネトロン放電を利用したプラズマ源には、磁石が必要である。磁石は高温に影響されやすいため、このようなプラズマ源を高温で動作させることができず、能動的または受動的手段によって冷却する必要がある。これらの磁石が存在するだけでなく、シャントを存在させる必要もあることから、複雑で高価なアセンブリになる。
また、このプラズマ源は、中空陰極放電を利用したプラズマ源と比較して、比較的低密度で自由電子を発生させる。コーティングの目的で、プラズマの電子はコーティング原料をイオン化する働きをする。このため、Madocksによって開示されたものなどのマグネトロンプラズマを利用したプラズマ源を用いると、コーティング効率が低くなる。
Jungは、欧州特許出願公開第0727508号(A1)において、2つの平行な電極を利用した中空陰極リニアプラズマ源を開示している。プラズマは本質的に一次元すなわち、プラズマ源の長さに沿ってのみ延び、狭いプラズマビームを形成する。Jungは、電極材料のスパッタリングを避けるために、不活性ガス流を電極に平行に注入しなければならないことを開示している。しかしながら、電極に平行な不活性ガスの注入は、反応性イオンの発生率低下につながるため、処理効率またはコーティング率が低下する。
米国特許第7411352号 欧州特許出願公開第0727508号
堆積率の高いこの種のプラズマ源の主な問題の1つに、プラズマ源の壁が、プラズマを通って流れる原料と早い段階で反応することによってすぐに汚れてしまうという事実がある。この問題がゆえ、当該プロセスの工業利用は非常に限られており、生産ラインにおけるスループットに対する制約となる頻繁な洗浄サイクルが必要である。
堆積率の高いこれらのプラズマ源の別の欠点として、原料がプラズマ源を離れた後にこれを基材の表面だけにとどめておくのが困難なことがあげられる。結果として、原料のかなりの部分は、基材にコーティングを形成するのに使用できない。これは、プラズマ源を取り囲む表面での原料の変化に伴うコーティング率の低下とコーターの汚れにつながる。
したがって、大面積での表面処理および大面積でのコーティングの分野では、大きな基材を高効率かつ少ない量の汚染と欠陥で処理および/またはコーティングできるかなりの長さの均一なプラズマを提供可能な単純なプラズマ源が、依然として必要とされている。
本発明の一態様では、大きな基材への薄膜の付着と大きな基材の表面のプラズマ処理に有用なリニアプラズマ源が提供される。プラズマ処理とは、例えば、表面活性化、表面洗浄および表面エッチングを包含することを意味する。
本発明の一態様では、リニアプラズマが非常に広い、中空陰極を利用したプラズマ源が提供される。
本発明の一態様では、均一で幅広いリニアプラズマを形成することができるプラズマ源が提供される。
本発明の一態様では、電極孔の表面でのスパッタ率が低いプラズマ源が提供される。
本発明の一態様では、自由電子が高密度のプラズマ源が提供される。
本発明の一態様では、均一で幅広いリニアプラズマを使用して大面積のコーティングを形成する方法が提供される。
本発明のこれらの態様および他の態様は、図面を参照した本発明の特定の実施形態についての詳細な説明において明らかになるであろう。
図1は、本発明によるプラズマ源の断面図を示す。 図2は、本発明による別のプラズマ源の断面図を示す。 図3は、本発明による別のプラズマ源の断面図を示す。 図4は、基材の表面処理またはコーティングに使用される本発明によるプラズマ源の断面図を示す。 図5は、本発明によるプラズマ源の可能な変形例の断面図を示す。
図1は、互いに近接して配置された、孔の壁である第1の電子放出面を有する第1の電極1と、孔の壁3である第2の電子放出面を有する第2の電極2とを備える、本発明による中空陰極プラズマ源の横断面図を示す。第1の電極および第2の電極は各々、ガスの入った細長い空間4すなわち中空陰極孔を実質的に囲んでいる。
中空陰極孔間の距離11は、一方の孔の中心14aから他方の孔の中心14bまでの距離で測定される。電極は、実質的に互いに平行に延在している。陰極は、処理対象となる基材の移動方向に対して垂直の向きに配置されてもよいし、この移動方向に対して斜めに配置されてもよい。
第1の電極および第2の電極は、本質的に、絶縁材料5によって囲まれている。第1の電極および第2の電極には、プラズマを形成するガス用のガス入口6と、イオン化したプラズマガス用のガス出口7とが設けられている。
出口では、プラズマ源が配置された真空チャンバと、この真空チャンバ内にある基材の方に向けて、出口ノズル13を介してガスが送られる。ガス出口ノズルは、ある幅12を有する。
第1の電極および第2の電極は、正負が交互に入れ替わる電圧を印加するAC電源(図示せず)に電気的に接続されている。プラズマ源を支持する真空チャンバ構造8と電極との間には、暗部または固体電気絶縁体9がある。また、プラズマ源にコーティング原料注入ノズル10も組み合わせて、プラズマ強化化学蒸着を行ってもよい。ノズル10は、ガスを含有するコーティング原料を、真空チャンバ内でプラズマ源によって生じたプラズマのほうに送る。構造要素ならびに冷却要素および電気接続については、図示していない。
中空陰極プラズマ源は、正の電位(陽極)と負の電位(陰極)が180°の位相のずれで入れ替わる2つの孔として一般に説明される、プラズマを形成する装置を意味するとされる。陰極孔では、電子は孔の負の電界間で振動し、それによって孔の中に閉じ込められる。
プラズマは、自由電子と正のイオンの両方を含む導電性のガス媒体を意味するとされる。
反応ガスは、酸素および/または窒素を意味するとされる。
原料ガスだけからは化学的に得られない可能性がある化合物を表面に付着させると望ましいことが多い。多くの場合、酸化物または窒化物を形成すべく、酸素または窒素などの反応ガスを化学蒸着(CVD)プロセスに加えてもよい。
他の反応ガスでは、フッ素、塩素、他のハロゲンまたは水素が含まれることもある。反応ガスは、励起または化学的に分解されても、凝縮可能な分子種が形成されない事実をもって、原料ガスとは区別することができる。通常、反応ガスまたは反応ガスの一部は、それ自体が固体の付着物を成長させることはできないが、反応によって、原料ガスまたは他の固体付着物の供給源に由来する固体付着物に、反応ガスまたは反応ガスの一部を化学的に取り込むことは可能である。好ましい反応ガスは、O、N、NH、CH、NO、Hである。
原料は、蒸気圧に基づいて選択される、凝縮されて固体のコーティングになる化学元素を含有する分子形態の気体または液体を意味するとされる。原料から凝縮される元素として、金属、遷移金属、ホウ素、炭素、ケイ素、ゲルマニウムおよび/またはセレンがあげられる。
通常、原料分子は、エネルギー源によって励起、部分的に分解または完全に分解されるまで非反応性であるか表面に付着しにくく、励起、部分的に分解または完全に分解が生じると、コーティングに望ましい化学元素を含有する原料の化学的な一部分が、表面に対して固体状で化学的に結合または凝縮できるようになる。原料化合物の凝縮部分は主に、純粋な元素、元素の混合物、原料化合物の成分に由来する化合物または化合物の混合物であり得る。
好ましい原料ガスは、SiH、N(SiH、TMDSO、HMDSO、TTIP・・・などの無機化合物あるいは、SiO、Si、ZrO、TiO、Al、AlN、SnO2、ZnOなど・・・といった、酸化膜、窒化膜または酸化窒化膜を付着させるのに適当な金属を含有する他の任意の化合物ならびに、これらの材料のうち1種類以上の混合物、たとえばSiO、SiAlなどである。
基材は、本発明によって表面が化学的に改質されるかコーティング対象となる、小面積または大面積のアイテムのいずれかを意味するとされる。本明細書でいう基材は、コーティングまたは改質対象となる表面を有する、ガラス、プラスチック、金属、無機材料、有機材料または任意の他の材料で構成することが可能である。
AC電力またはAC電源は、交互に入れ替わる電源からの電力を意味するとされ、正弦波、方形波、パルスまたは他の何らかの波形で、ある頻度で電圧が変化する。電圧の変動は、負から正に生じることが多い。バイポーラ形式の場合、2本のリード線によって供給される出力電力は一般に、位相が約180°ずれている。
二次電子または二次電子電流は、固体表面に粒子が衝突することによる、その表面からの電子放出と、その結果として生じる電流をそれぞれ意味するとされる。
暗部は、プラズマ電流が非常に低い、電極周辺の狭いゾーンまたは領域を意味するとされる。通常、暗部距離だけ離れたプラズマ電極と接地電位コンダクタの間または互いに逆に荷電した2つのプラズマ電極の間には、実質的に電流が流れることがない。
電極の構成材料は、電子が電極表面から放出され、放電を維持するのに必要な電流を流すことができるように、十分に導電性のものでなければならない。電極材料は、金属、金属合金、金属化合物、炭素、炭素化合物、セラミックまたは半導体を含む。最も一般に用いられる材料は、金属、金属合金またはグラファイトカーボンである。
電極材料については、特定の電子放出特性に合わせて選択してもよい。これらの材料は、動作電圧を下げて電子電流を増すことのできる、仕事関数が小さいか二次電子放出係数が大きい材料を含んでもよい。
電子放出面は、電極上に付着した金属コーティング、金属ベースのコーティング、メタロイドコーティング、メタロイドベースのコーティングまたはカーボンベースのコーティングを含むことができる。これらのコーティングは、動作電圧を下げて電子電流を増すことのできる、仕事関数が小さいか二次電子放出係数が大きい材料を含んでもよい。
プラズマを形成するガスとしては、ほぼどのようなガスでも用いることができる。最も一般に、プラズマを形成するガスは、He、Ne、Ar、Kr、Xe、O、N、H、NHまたはこれらのガスのいずれかの混合物を含有する。ガス流速は一般に、孔の長さ1リニアミリメーターあたり0.5sccm〜10sccmである。
出口およびノズルには、異なる配置と形状が可能である。一般に、それらは穴を並べたものである。また、スロットまたは細長いオリフィスであってもよい。中空陰極孔と外部すなわち真空チャンバとの間には、ガス圧の降下が存在する。これによって、プラズマを安定して保てるだけの十分高い圧力レベルが陰極孔内で維持され、イオン化したガスが孔から外に向かって流れ出す。このように、ノズルによって、真空チャンバ内でコーティングプロセス環境から電極が引き離され、注入されたコーティング原料による汚染の可能性が低減される。
第1の中空陰極電極および第2の中空陰極電極は、交互に陰極および陽極として機能する。一方の電極がプラズマ電位に対して電気的に正の場合、他方の電極はプラズマ電位に対して電気的に負であり、この電気的な極性がある周期で反転する。
これは、AC電源またはパルスDC電源を使用することによって達成されてもよい。一般に、電源は、電極間の電子電流がある周期で反転するように、位相の極性が交互に入れ替わって約180度位相がずれたバイポーラ電圧を印加する。好ましい電圧範囲は300V〜1200Vであり、好ましい周波数範囲は10kHz〜1MHz、好ましくは10kHz〜100kHz、最も好ましくは約40kHzである。
本発明の中空陰極プラズマ源によって形成されるプラズマは、極めて導電性が高く、一般に数十ボルト正の接地電位の電荷を運ぶ非平衡非熱プラズマである。電極は、真空チャンバの動作圧力で極性が逆の電極間に電子電流を流すことができるように十分近接して配置される。
真空チャンバ内の動作圧力は、0.001ミリバール〜1ミリバール、一般に0.002ミリバール〜0.1ミリバール、より一般には0.007ミリバール〜0.05ミリバールに維持することができる。
第1の電子放出面と第2の電子放出面によって囲まれた空間内にプラズマが形成され、電子放出面の間にあるガスの存在する空間全体に広がるプラズマ。プラズマは、閉回路電子ドリフトが実質的に生じず、長さ全体に実質的に均一にされる。
これによって、本発明によるプラズマ源は、このプラズマ源の下の狭い幅に限定されずに2つの中空陰極孔の間に延びる、自由電子密度の高いリニアプラズマビームを形成する。このため、本発明によるプラズマ源を用いると、基材とプラズマとの間の接触時間を長くすることができる。また、プラズマビームに近接して注入されるコーティング原料がビームと相互作用する、より良い機会も提供される。これによって、高い堆積率および高い処理効率が達成される一方で、プラズマ源とコーター全体が汚れる危険性が低減される。
さらに、本発明によるプラズマ源には、電極、加速グリッド、磁場、シャントまたは中和器が追加で必要になることはない。このため、他のプラズマ源より複雑さが少なく、よって、コストがかからない。しかしながら、特定の理由で望まれるのであれば、本発明による中空陰極の配置と組み合わせて磁石および/または追加の電極を使用することができる。
本発明の一態様では、中空陰極プラズマ源のある重要なパラメータの値が提供される。本発明者らによって特定された重要なパラメータは、
−孔の断面形状
−孔の断面積
−出口ノズルの幅
−孔の距離
である。
本発明者らは、これらの重要なパラメータの驚くべき効果を認めている。また、本発明者らは、これらのプラズマ源を支配するすべてのパラメータのうち、上記のパラメータが特に、単独または組み合わせで、中空陰極プラズマ源で発生するプラズマの自由電子密度のみならずプラズマ源の孔の表面でのスパッタリング量にかなりの影響を及ぼすことを発見した。これらの効果を達成するために、本発明者らは、上記の重要なパラメータが特定の値である必要があることを発見した。これらの重要なパラメータの値は、中空陰極プラズマ源の孔ごとに異なっていてもよいが、好ましくは中空陰極プラズマ源の両方の孔について同じである。
有利な実施形態によれば、これらの重要なパラメータが特定の値であると、別々にまたは任意の組み合わせで、孔の表面でのスパッタリング量が減少する。このため、本発明によるプラズマ源では、電極に平行な不活性ガスの注入を必要としない。したがって、高収率で反応種が得られ、高い処理効率またはコーティング率につながる。
よって、本発明によるプラズマ源は、スパッタされた材料がプラズマ源とそのノズルの内部に再付着するのを抑え、デブリの形成も低減する。このため処理またはコーティングの均一性が改善され、処理またはコーティングにおける欠陥の量が低減される。
好都合な実施形態によれば、中空陰極放電を利用したプラズマ源では、これらの重要なパラメータを特定の値で別々に、あるいは任意の組み合わせで用いると、自由電子密度の増加につながる。よって、処理効率またはコーティング効率が向上する。さらに、コーティング原料を一層効率的に用いることで、未反応のコーティング原料による真空チャンバや真空ポンプの汚れの低減につながる。
100時間を上回る長時間の試験では、孔の断面形状が角に丸みのある矩形であるプラズマ源(図2)で、断面形状が円形のプラズマ源(図3)よりも、孔の表面でのスパッタリングがかなり多く認められた。
実験結果をコンピュータシミュレーションのデータと比較することにより、本発明者らは、中空陰極孔の表面でのスパッタリング量が、数値シミュレーションで決定した場合の中空陰極孔の表面での反応性イオンの吸収に関連していることを見いだした。
ガス流れとガス放電のシミュレートに用いたシミュレーションソフトウェアは、ドイツのBraunschweigにあるFraunhofer−Institute for Surface Engineering and Thin Films ISTによって開発されたPIC−MCと呼ばれるプログラムである。このソフトウェアでは、ガス流れ、磁場、プラズマのシミュレーションを組み合わせている。
ガス流れのシミュレーションでは直接シミュレーションモンテカルロ(DSMC)法を使用し、磁場のシミュレーションでは境界要素法(BEM)、プラズマシミュレーションではセル内粒子モンテカルロ法(PIC−MC)を使用する。
中空陰極プラズマ源を横方向に1.016mm厚で切ったスライスである擬似2Dモデルを使用して、シミュレーションを行った。擬似2Dは、スライスの厚みが薄く、周期的な条件が各々の面で横方向に適用されることを意味する。
シミュレーション用に、プラズマを形成する多くの異なるガスを使用することができ、以下の例ではアルゴンを使用した。計算時間を制限するために、コーティング原料としてSiを選択し、その可能な反応のうち、以下の2つを選択した。
Si+e → Si +2H+2e(1)
Si+e → SiH+SiH+H+e(2)
水素種は、シミュレーションに含めなかった。
入力パラメータの各セットについて、シミュレーションでは、異なる気相種(原子、イオン、分子、電子)が占有する空間全体にわたる、それらの気相種の数と速度に関するデータが得られる。このデータから、密度やフラックスなど、ある値を計算することができる。
ここで、フラックスは、単位面積あたりの気相種の移動量である(単位:mol・m−2・s−1)。
もうひとつの有用な計算に、ある表面に吸収されるフラックスがある。陰極孔材料のある固着係数があれば、その表面に向けられたイオン束から、表面でのイオンの吸収を計算することができる。実験結果をシミュレーションデータと相関させることにより、本発明者らは、シミュレーションモデルに従って、実際のプラズマ源で観察されるデブリの形成、よって孔の表面でのスパッタリングが、電極孔の表面におけるイオン化プラズマ種の吸収レベルに関連していることを見いだした。
電極孔の表面におけるイオン化プラズマ種の吸収レベルが低ければ、孔でスパッタリングが生じるレベルが低く、デブリの形成が少ないことを意味する。
別の重要な量として、発生する電子密度がある。電子密度は表面処理またはコーティング効率に大きな影響を与え、電子密度が高ければ表面処理またはコーティング効率も高くなる。
本シミュレーションでは、プラズマ源を支持するチャンバ構造から2.54mmの距離に設定したライン上の真空チャンバ内で電子密度を測定し、これを平均した。
本発明の一態様では、中空陰極孔の横断面形状が提供される。
本発明者らは、驚くべきことに、矩形である孔の断面形状を、少なくとも1つの角に丸みのある矩形または好ましくは4つの角に丸みのある矩形の形状(角に丸みのある矩形の形状)を有する同じ表面領域あるいは、好ましくは4つの角の半径がその幅の半分に等しい角に丸みのある矩形の形状を有するか、最も好ましくは円形の形状を有する同じ表面領域を囲む断面に代えると、陰極孔の表面でのイオン化プラズマ種の吸収レベルが低下することを見いだした。
図2は、孔の断面形状が角に丸みのある矩形である、本発明によるプラズマ源の断面図を示す。
図3は、孔の断面形状が円形である、本発明によるプラズマ源の断面図を示す。
本発明から逸脱することなく、これらの形状の変形例、特に中間的な形状につながる変形例を作ることができる。特に、楕円形または卵形あるいは、図5に示すような形状の変形例である。
さらに、本発明者らは、孔の断面形状が円形であると、矩形の形状である場合よりも電子密度が改善されることも見いだした。
本発明の一態様では、中空陰極孔の横断面積が提供される。
本発明の一実施形態によれば、孔の断面積は、100mm〜10000mm、好ましくは500mm〜4000mmである。
本発明の別の実施形態によれば、孔の断面積は、100mm〜1000mm、好ましくは500mm〜1000mm、最も好ましくは500mm〜750mmである。本発明者らは、驚くべきことに、孔の断面積が小さいほど、電子密度が大きくなることを見いだした。
本発明の別の実施形態によれば、孔の断面積は、1000mm〜4000mm、好ましくは1500mm〜4000mm、最も好ましくは2000mm〜4000mmである。本発明者らは、驚くべきことに、断面積が大きいほど、陰極孔の表面によるイオン化プラズマ種の吸収レベルが低くなることを見いだした。
本発明の別の実施形態によれば、孔の断面積は、750mm〜1500mm、好ましくは750mm〜1250mm、最も好ましくは1000mm前後である。本発明者らは、ある中間の断面積で、陰極孔の表面によるイオン化プラズマ種の吸収レベルと電子密度のバランスがとれることを見いだした。
本発明の一態様では、一方の孔の中心から他方の孔の中心まで測定された中空陰極孔の距離が提供される。孔の中心は、孔が規則的な幾何学形状であれば孔の断面の幾何学中心、不規則な形状であれば孔の重心である。
本発明者らは、驚くべきことに、中空陰極孔の距離をある閾値まで増加させると、電子密度の低下とともに、陰極孔の表面によるイオン化プラズマ種の吸収レベルが低下することを見いだした。本発明によれば、孔の距離は、85mm〜160mm、好ましくは100mm〜145mm、最も好ましくは125mm前後である。
また、孔の距離が、孔の大きさ、絶縁要件、構造的要件、冷却の要件にも依存することは、当業者には明らかである。
本発明の一態様では、出口ノズルの幅が提供される。
本設計では、出口ノズルは、孔の断面形状の中心を通る垂直線でセンタリングされている。それぞれの孔の断面の中心とその出口ノズルの中心は、孔の断面の中心を通る垂直線と揃っている。しかしながら、本発明から逸脱することなく、出口ノズルの配置と向きを変更および改変してもよい。
基本的な設計では、出口ノズルの幅は3.5mm〜5mmの範囲にある。本発明者らは、この幅を広くすると、陰極孔の表面によるイオン化プラズマ種の吸収レベルが低下し、電子密度が増すことを見いだした。ただし、出口ノズルの幅が閾値よりも広くなると、電子密度が大幅に低下する。これはおそらく、孔内の圧力を、有意なプラズマ放電を生じるだけの高いレベルに維持することができないからであろう。
本発明によれば、出口ノズルの幅は、1mm〜25mm、好ましくは3mm〜25mm、より好ましくは8mm〜22mm、より好ましくは8mm〜12mm、最も好ましくは10mm前後である。
本発明の別の実施形態によれば、単一の電源を共通に使用するか、複数の別個の電源を使用して、2つ以上のプラズマ源を組み合わせて表面処理またはコーティング期間を長くすることができる。
本発明の別の実施形態によれば、プラズマ源は、例えば表面洗浄、表面更新、表面の活性化など、基材の表面処理に用いられる。基材は、プラズマ源の下を搬送され、プラズマ源の出口ノズル間の真空空間全体に広がるプラズマのイオンと電子に曝露される。
本発明の別の実施形態によれば、プラズマ源は基材をコーティングするために使用される。図4は、プラズマ源の下に搬送され、プラズマ源の2つのノズルの間の真空空間全体に広がるプラズマ16のイオンと電子に曝露される基材15を示す。基材上にコーティングを形成するために、コーティング原料ガスが、ノズル17を介して注入され、プラズマによって活性化される。
<実施例>
実施例1および2
本発明による長さ10cm(プラズマ長)のステンレス鋼中空電極を2つ有するプラズマ源を構築し、以下の条件で100時間より長く動作させた。
・電圧の振幅±1200V
・周波数40kHz
・電圧機能:バイポーラ、電圧制御で正弦波
・電力セットポイント20kW、プラズマ源を電力制御モードで動作させる
・プラズマを形成するガスO2;流量は孔長1リニアミリメーターあたり2sccm
・陰極孔の断面積2000mm
・真空チャンバの圧力:8〜12mTorr
・出口ノズルの幅:3.5mm
角に丸みのある矩形と円形の2つの異なる孔の断面形状を比較した。
孔の表面でのスパッタリングは、デブリ粒子の形成につながる。24時間間隔で、ガラス基材上のデブリを回収し、デブリ粒子の数を計数した。以下の表から明らかなように、デブリ粒子の数は、円形の形状よりも角に丸みのある矩形の孔の断面形状で、かなり短時間で増加した。
Figure 0006710686
シミュレーションの例
実施例3〜17では、中空陰極プラズマ源をシミュレートした。
重要なパラメータの各々について、5つまでの変形例の組を比較した。それぞれの組で、1つの変形例を基準として選択した。変形例ごとに、陰極孔の表面でのイオン化プラズマ種の吸収レベルならびに電子密度を計算した後、この値と基準値との比を求めた。
以下のパラメータについては、すべてのシミュレーション例で変更しないようにする。
・電圧の振幅±1200V
・周波数100kHz
・電圧機能:バイポーラ、電圧制御で正弦波
・電力セットポイント25kW/m
・壁温度300K
・原料ガス種Si2H6 流量は孔長1リニアメーターあたり0.13sccm
・プラズマを形成するガスAr 流量は孔長1リニアメーターあたり2.65sccm
・二次電子放出係数が0.1の電極材料(Ag、Cu、Al、Ti、Zn、Feに匹敵)
・真空ポンプをチューニングすることにより、真空チャンバの圧力約10mTorr
実施例3〜5 重要なパラメータ:孔の断面形状
矩形、角に丸みのある矩形、円形の3種類の孔の断面形状をシミュレートした。矩形の断面は、幅10mm、高さ50mmとした。角に丸みのある矩形の形状は、4つの角に丸みがあり(角の半径:7mm)、幅約14mm、高さ45mmとした。円形の断面形状は、半径が13mmとした。
以下のパラメータについては、実施例3〜5で同一とした。
−孔の断面積:約500mm
−出口ノズルの幅:5mm
−孔の距離122mm
Figure 0006710686
断面形状が矩形から角に丸みのある矩形、円形となっていくにつれて、陰極孔でのイオン化プラズマ種の吸収、よって孔のスパッタリングとデブリの形成が少なくなる。
孔の断面形状が矩形から円形になると、電子密度、よってプロセス効率が高くなる。
実施例6〜8 重要なパラメータ:孔の断面積
500mm、1000mm、2000mmの3種類の陰極孔の断面積をシミュレートした。
以下のパラメータについては、実施例6〜8で同一とした。
−孔の断面形状:円形
−出口ノズルの幅:5mm
−孔の距離122mm
Figure 0006710686
孔の断面積が大きくなるにつれて、陰極孔でのイオン化プラズマ種の吸収、よって孔のスパッタリングとデブリの形成が少なくなる。
孔の断面積が小さくなるにつれて、電子密度、よってプロセス効率が高くなる。
孔の断面積1000mm前後では、イオン化種の吸収レベルと電子密度のレベルがバランスする。
実施例9〜13 重要なパラメータ:孔の距離
168mm、142mm、114mm、104mm、84mmの5種類の孔の距離をシミュレートした。
以下のパラメータについては、実施例9〜13で同一とした。
−孔の断面形状:円形
−孔の断面積:500mm
−出口ノズルの幅:5mm
Figure 0006710686
孔の距離が長くなるにつれて、陰極孔でのイオン化プラズマ種の吸収、よって孔のスパッタリングとデブリの形成が少なくなる。
電子密度、よってプロセス効率は、最も高いもの以外、試験したすべての孔の距離で良好なままである。孔の距離が100mm〜145mmの間にあるときに、両方の比の興味深いバランスが得られる。
実施例14〜17 重要なパラメータ:出口ノズルの幅
5mm、10mm、20mm、40mmの4種類のノズルの幅をシミュレーションした。
以下のパラメータについては、実施例9〜13で同一とした。
−孔の断面 形状:円形
−孔の断面積:500mm
−孔の距離:122mm
Figure 0006710686
出口ノズルの幅が広いほど、陰極孔でのイオン化プラズマ種の吸収、よって孔のスパッタリングとデブリの形成が少なくなる。
出口ノズルの幅が広いほど、電子密度、よってプロセス効率が高くなる。出口ノズルの幅が、例えば40mmと非常に広い場合、真空チャンバと孔の内部の間の圧力差を、安定なプラズマを維持するのに十分なレベルに保つことができず、電子密度レベルが非常に低くなる。

Claims (8)

  1. 中空陰極プラズマ源であって、
    細長い孔(4)と、プラズマを形成するガス用のガス入口(6)と、基材に向けられた出口ノズル(13)につながるガス出口(7)とを各々有し、正負が交互に入れ替わる電圧を印加する電源と電気的に接続された、第1の電極(1)および第2の電極(2)を備え、
    前記第1及び第2の電極(1,2)は互いに実質的に平行であり、
    以下のパラメータの値として、
    i.前記孔の断面が、矩形、角に丸みのある矩形または円形であるか、これらの形状の中間的な形状であること
    ii.前記孔の断面積が、500mm〜4000mmであること
    iii.前記孔の距離(11)が、100mm〜145mmであること
    iv.前記出口ノズルの幅(12)が、1mm〜25mmであること
    のすべてが選択される、中空陰極プラズマ源。
  2. 前記孔の断面形状は円形である、請求項1に記載の中空陰極プラズマ源。
  3. 前記孔の断面積は、500mm〜1000mmである、請求項1に記載の中空陰極プラズマ源。
  4. 前記孔の断面積は、1000mm〜4000mmである、請求項1に記載の中空陰極プラズマ源。
  5. 前記孔の断面積は、750mm〜1500mmである、請求項1に記載の中空陰極プラズマ源。
  6. 前記出口ノズルの幅(12)は、3.5mm〜25mmである、請求項1に記載の中空陰極プラズマ源。
  7. 請求項1に記載の中空陰極プラズマ源を有する真空チャンバを用意し、
    前記電極(1,2)のプラズマを形成するガス入口(6)を介してプラズマを形成するガスを注入し、
    前記中空陰極プラズマ源に電圧を印加し、
    前記真空チャンバ内で前記中空陰極プラズマ源によって発生した前記プラズマ(16)に基材(15)を導入することを含む、基材の表面を処理するための方法。
  8. 請求項1に記載の中空陰極プラズマ源を有する真空チャンバを用意し、
    前記電極(1,2)のプラズマを形成するガス入口(6)を介してプラズマを形成するガスを注入し、
    前記中空陰極プラズマ源に電圧を印加し、前記中空陰極プラズマ源によって発生した前記プラズマに向けてコーティング原料ガスを注入し、
    前記真空チャンバ内で前記中空陰極プラズマ源によって発生した前記プラズマ(16)に基材(15)を導入し、
    前記プラズマによって活性化した前記コーティング原料ガスからコーティングを施すことを含む、基材をコーティングするための方法。
JP2017529720A 2014-12-05 2014-12-05 中空陰極プラズマ源、基材処理方法 Active JP6710686B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/068858 WO2016089424A1 (en) 2014-12-05 2014-12-05 Hollow cathode plasma source

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019212122A Division JP2020047591A (ja) 2019-11-25 2019-11-25 中空陰極プラズマ源

Publications (2)

Publication Number Publication Date
JP2018500734A JP2018500734A (ja) 2018-01-11
JP6710686B2 true JP6710686B2 (ja) 2020-06-17

Family

ID=56092179

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017529720A Active JP6710686B2 (ja) 2014-12-05 2014-12-05 中空陰極プラズマ源、基材処理方法

Country Status (11)

Country Link
US (1) US10586685B2 (ja)
EP (1) EP3228160B1 (ja)
JP (1) JP6710686B2 (ja)
KR (1) KR102272311B1 (ja)
CN (1) CN107852805B (ja)
BR (1) BR112017011612A2 (ja)
EA (1) EA201791234A1 (ja)
ES (1) ES2883288T3 (ja)
MX (1) MX2017007356A (ja)
MY (1) MY192286A (ja)
WO (1) WO2016089424A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020047591A (ja) * 2019-11-25 2020-03-26 エージーシー ガラス ヨーロッパ 中空陰極プラズマ源

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EA030379B1 (ru) 2008-08-04 2018-07-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Способ нанесения тонкопленочных покрытий с использованием плазменно-химического осаждения из газовой фазы (варианты)
JP6508746B2 (ja) * 2014-12-05 2019-05-08 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. マクロ粒子低減コーティングを利用したプラズマ源ならびにマクロ粒子低減コーティングを用いたプラズマ源を薄膜コーティングおよび表面改質に使用する方法
EP3228160B1 (en) 2014-12-05 2021-07-21 AGC Glass Europe SA Hollow cathode plasma source
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10707058B2 (en) * 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
EP3399538A1 (en) * 2017-05-03 2018-11-07 AGC Glass Europe Segmented hollow cathode
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
CN108079439A (zh) * 2017-12-29 2018-05-29 重庆半岛医疗科技有限公司 一种等离子治疗装置
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
EP4077762A1 (en) * 2019-12-19 2022-10-26 AGC Glass Europe Silicon oxide coated polymer films and low pressure pecvd methods for producing the same
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11373845B2 (en) 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2920235A (en) 1958-07-24 1960-01-05 Persa R Bell Method and apparatus for producing intense energetic gas discharges
NL283468A (ja) * 1961-09-27
US3381157A (en) 1964-12-10 1968-04-30 United Aircraft Corp Annular hollow cathode discharge apparatus
GB1257015A (ja) 1967-11-03 1971-12-15
US3813549A (en) 1972-12-26 1974-05-28 Ibm Self-healing electrode for uniform negative corona
US4196233A (en) 1974-02-07 1980-04-01 Ciba-Geigy Corporation Process for coating inorganic substrates with carbides, nitrides and/or carbonitrides
US4017808A (en) 1975-02-10 1977-04-12 Owens-Illinois, Inc. Gas laser with sputter-resistant cathode
US4422014A (en) 1981-11-12 1983-12-20 David Glaser Method and apparatus for obtaining a focusable beam of electrons from a gaseous hollow-cathode discharge
US4419203A (en) 1982-03-05 1983-12-06 International Business Machines Corporation Apparatus and method for neutralizing ion beams
DE3222436A1 (de) 1982-06-15 1983-12-15 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren zur herstellung einer wolframcarbidaktivierten elektrode
JPS59228338A (ja) 1983-06-10 1984-12-21 Mitsubishi Electric Corp ホロ−カソ−ド
JPS61238962A (ja) 1985-04-16 1986-10-24 Matsushita Electric Ind Co Ltd 膜形成装置
JPS61251021A (ja) 1985-04-26 1986-11-08 Fujitsu Ltd 成膜装置
JPS63297560A (ja) 1987-05-29 1988-12-05 Matsushita Electric Ind Co Ltd 薄膜の製造方法
US4916356A (en) 1988-09-26 1990-04-10 The United States Of America As Represented By The Secretary Of The Air Force High emissivity cold cathode ultrastructure
DE3832693A1 (de) 1988-09-27 1990-03-29 Leybold Ag Vorrichtung zum aufbringen dielektrischer oder metallischer werkstoffe
FR2643087B1 (fr) 1989-02-16 1991-06-07 Unirec Procede de depot d'un revetement de type ceramique sur un substrat metallique et element comportant un revetement obtenu par ce procede
US5028791A (en) 1989-02-16 1991-07-02 Tokyo Electron Ltd. Electron beam excitation ion source
JP2537304B2 (ja) 1989-12-07 1996-09-25 新技術事業団 大気圧プラズマ反応方法とその装置
DE69032691T2 (de) 1989-12-07 1999-06-10 Japan Science & Tech Corp Verfahren und Gerät zur Plasmabehandlung unter atmosphärischem Druck
US5437778A (en) 1990-07-10 1995-08-01 Telic Technologies Corporation Slotted cylindrical hollow cathode/magnetron sputtering device
JP3061288B2 (ja) 1990-11-13 2000-07-10 株式会社日立製作所 プラズマ処理装置
US5330606A (en) 1990-12-14 1994-07-19 Matsushita Electric Industrial Co., Ltd. Plasma source for etching
DE4039930A1 (de) 1990-12-14 1992-06-17 Leybold Ag Vorrichtung fuer plasmabehandlung
DE4109619C1 (ja) 1991-03-23 1992-08-06 Leybold Ag, 6450 Hanau, De
EP0523695B1 (en) 1991-07-18 1999-05-06 Mitsubishi Jukogyo Kabushiki Kaisha A sputtering apparatus and an ion source
US5286534A (en) 1991-12-23 1994-02-15 Minnesota Mining And Manufacturing Company Process for plasma deposition of a carbon rich coating
JPH05226258A (ja) 1992-02-13 1993-09-03 Applied Materials Japan Kk プラズマ発生装置
FR2693770B1 (fr) 1992-07-15 1994-10-14 Europ Propulsion Moteur à plasma à dérive fermée d'électrons.
DE4236264C1 (ja) 1992-10-27 1993-09-02 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 80636 Muenchen, De
CA2126731A1 (en) 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
DE4336681C2 (de) 1993-10-27 1996-10-02 Fraunhofer Ges Forschung Verfahren und Einrichtung zum plasmaaktivierten Elektronenstrahlverdampfen
JPH07226395A (ja) 1994-02-15 1995-08-22 Matsushita Electric Ind Co Ltd 真空プラズマ処理装置
DE4412906C1 (de) 1994-04-14 1995-07-13 Fraunhofer Ges Forschung Verfahren und Einrichtung für die ionengestützte Vakuumbeschichtung
SE9403988L (sv) 1994-11-18 1996-04-01 Ladislav Bardos Apparat för alstring av linjär ljusbågsurladdning för plasmabearbetning
DE19505268C2 (de) 1995-02-16 1999-02-18 Fraunhofer Ges Forschung CVD-Verfahren zur Beschichtung von Substratoberflächen
US5686789A (en) 1995-03-14 1997-11-11 Osram Sylvania Inc. Discharge device having cathode with micro hollow array
JPH09283300A (ja) 1996-04-18 1997-10-31 Sony Corp プラズマ処理装置
DE19634795C2 (de) 1996-08-29 1999-11-04 Schott Glas Plasma-CVD-Anlage mit einem Array von Mikrowellen-Plasmaelektroden und Plasma-CVD-Verfahren
US6388381B2 (en) * 1996-09-10 2002-05-14 The Regents Of The University Of California Constricted glow discharge plasma source
US6140773A (en) 1996-09-10 2000-10-31 The Regents Of The University Of California Automated control of linear constricted plasma source array
DE19643865C2 (de) 1996-10-30 1999-04-08 Schott Glas Plasmaunterstütztes chemisches Abscheidungsverfahren (CVD) mit entfernter Anregung eines Anregungsgases (Remote-Plasma-CVD-Verfahren) zur Beschichtung oder zur Behandlung großflächiger Substrate und Vorrichtung zur Durchführung desselben
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
DE19722624C2 (de) * 1997-05-30 2001-08-09 Je Plasmaconsult Gmbh Vorrichtung zur Erzeugung einer Vielzahl von Niedertemperatur-Plasmajets
US5846884A (en) 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US5874807A (en) 1997-08-27 1999-02-23 The United States Of America As Represented By The Secretary Of The Navy Large area plasma processing system (LAPPS)
US6146462A (en) 1998-05-08 2000-11-14 Astenjohnson, Inc. Structures and components thereof having a desired surface characteristic together with methods and apparatuses for producing the same
JP3799819B2 (ja) 1998-05-20 2006-07-19 セイコーエプソン株式会社 表面処理方法及び装置
DE19902146C2 (de) 1999-01-20 2003-07-31 Fraunhofer Ges Forschung Verfahren und Einrichtung zur gepulsten Plasmaaktivierung
JP3061288U (ja) 1999-02-05 1999-09-17 有限会社渡辺組 手動式トルクレンチ用ソケット
EP1035561A2 (en) 1999-03-02 2000-09-13 Praxair S.T. Technology, Inc. Refractory coated component for use in thin film deposition and method for making
JP3069700B1 (ja) 1999-07-22 2000-07-24 静岡大学長 放電容器及びその放電容器を備えたプラズマラジカル生成装置
US6508911B1 (en) 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
DE29919142U1 (de) 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
SE521904C2 (sv) 1999-11-26 2003-12-16 Ladislav Bardos Anordning för hybridplasmabehandling
US6528947B1 (en) * 1999-12-06 2003-03-04 E. I. Du Pont De Nemours And Company Hollow cathode array for plasma generation
US6489854B1 (en) 2000-01-20 2002-12-03 Aten International Co., Ltd. Electronic apparatus for automatically detecting the length of network transmission lines
JP2002121670A (ja) 2000-10-17 2002-04-26 Mitsubishi Heavy Ind Ltd 薄膜の製造方法
JP2002143795A (ja) 2000-11-14 2002-05-21 Sekisui Chem Co Ltd 液晶用ガラス基板の洗浄方法
US6849854B2 (en) 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
US6444945B1 (en) 2001-03-28 2002-09-03 Cp Films, Inc. Bipolar plasma source, plasma sheet source, and effusion cell utilizing a bipolar plasma source
US6750600B2 (en) 2001-05-03 2004-06-15 Kaufman & Robinson, Inc. Hall-current ion source
US6640535B2 (en) 2001-06-13 2003-11-04 The Regents Of The University Of Michigan Linear gridless ion thruster
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6849306B2 (en) 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
JP4040284B2 (ja) 2001-11-08 2008-01-30 住友大阪セメント株式会社 プラズマ発生用電極内蔵型サセプタ及びその製造方法
EP1310466A3 (en) 2001-11-13 2003-10-22 Tosoh Corporation Quartz glass parts, ceramic parts and process of producing those
JP2003193239A (ja) 2001-12-28 2003-07-09 Hitachi Cable Ltd ガラス膜の形成方法及びガラス膜形成装置
EP1441577A4 (en) 2002-02-20 2008-08-20 Matsushita Electric Works Ltd PLASMA PROCESSING DEVICE AND METHOD
US7241360B2 (en) 2002-04-19 2007-07-10 Advanced Energy Industries, Inc. Method and apparatus for neutralization of ion beam using AC ion source
KR101019190B1 (ko) 2002-06-14 2011-03-04 세키스이가가쿠 고교가부시키가이샤 산화막 형성 방법 및 산화막 형성 장치
CN1754409B (zh) 2002-08-30 2010-07-28 积水化学工业株式会社 等离子处理装置
EP1554412B1 (en) 2002-09-19 2013-08-14 General Plasma, Inc. Plasma enhanced chemical vapor deposition apparatus
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
JP2005302681A (ja) 2003-05-14 2005-10-27 Sekisui Chem Co Ltd プラズマ処理装置
CN100553400C (zh) 2003-05-14 2009-10-21 积水化学工业株式会社 制造等离子处理设备的方法
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP2005005065A (ja) 2003-06-10 2005-01-06 Kunihide Tachibana プラズマ処理方法およびプラズマ処理装置
KR20060027357A (ko) 2003-06-25 2006-03-27 세키스이가가쿠 고교가부시키가이샤 플라즈마 처리 등의 표면 처리 장치 및 방법
FR2857555B1 (fr) 2003-07-09 2005-10-14 Snecma Moteurs Accelerateur a plasma a derive fermee d'electrons
US6886240B2 (en) 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
RU2239532C1 (ru) 2003-07-16 2004-11-10 Осинцев Григорий Владиславович Электрод для плазменной обработки
US20050040037A1 (en) 2003-08-20 2005-02-24 Walton Scott G. Electron beam enhanced large area deposition system
JP4311109B2 (ja) 2003-08-22 2009-08-12 東洋製罐株式会社 プラスチック容器内面への蒸着膜の成膜方法
CN1313640C (zh) 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP2005116232A (ja) 2003-10-03 2005-04-28 Ngk Insulators Ltd 電子放出素子及びその製造方法
WO2005047180A1 (ja) 2003-11-17 2005-05-26 Konica Minolta Holdings, Inc. ナノ構造炭素材料の製造方法、前記製造方法により形成されたナノ構造炭素材料および前記ナノ構造炭素材料を有する基板
US7232975B2 (en) 2003-12-02 2007-06-19 Battelle Energy Alliance, Llc Plasma generators, reactor systems and related methods
JP2005243892A (ja) 2004-02-26 2005-09-08 Matsushita Electric Ind Co Ltd ガスレーザ発振装置およびガスレーザ加工機
US7332061B2 (en) 2004-03-30 2008-02-19 Intel Corporation Integration of multiple frequency band FBAR filters
KR100599037B1 (ko) 2004-08-04 2006-07-12 삼성전자주식회사 이온 소스 및 이를 갖는 이온 주입 장치
FR2874606B1 (fr) 2004-08-26 2006-10-13 Saint Gobain Procede de transfert d'une molecule organique fonctionnelle sur un substrat transparent
JP4530825B2 (ja) 2004-12-06 2010-08-25 シャープ株式会社 インライン型プラズマ処理装置
US7262555B2 (en) 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20060289304A1 (en) 2005-06-22 2006-12-28 Guardian Industries Corp. Sputtering target with slow-sputter layer under target material
JP2007026781A (ja) 2005-07-13 2007-02-01 Sharp Corp プラズマ処理装置
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
TWI294257B (en) 2005-08-04 2008-03-01 Creating Nano Technologies Inc Low temperature plasma discharging device and the applying method thereof
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US8328982B1 (en) * 2005-09-16 2012-12-11 Surfx Technologies Llc Low-temperature, converging, reactive gas source and method of use
JP2008112580A (ja) 2005-10-13 2008-05-15 Ideal Star Inc イオンフロー制御型プラズマ源、及び、誘導フラーレンの製造方法
US7618500B2 (en) 2005-11-14 2009-11-17 Lawrence Livermore National Security, Llc Corrosion resistant amorphous metals and methods of forming corrosion resistant amorphous metals
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
JP2009530775A (ja) 2006-03-17 2009-08-27 ジェネラル・プラズマ・インコーポレーテッド ミラーマグネトロンプラズマ源
JP2007280641A (ja) 2006-04-03 2007-10-25 Sharp Corp プラズマ処理装置およびプラズマ処理方法
CN1831190A (zh) 2006-04-12 2006-09-13 上海集成电路研发中心有限公司 一种防止高密度等离子体化学气相沉积对金属损伤的方法
JP2008004814A (ja) 2006-06-23 2008-01-10 Sharp Corp プラズマ処理装置
US20090183771A1 (en) 2006-06-23 2009-07-23 Hitoshi Sannomiya Plasma processing apparatus, plasma processing method and photoelectric conversion element
US20080073557A1 (en) 2006-07-26 2008-03-27 John German Methods and apparatuses for directing an ion beam source
KR100845890B1 (ko) 2006-09-14 2008-07-16 주식회사 뉴파워 프라즈마 대면적 유도 결합 플라즈마 반응기
TWI318417B (en) 2006-11-03 2009-12-11 Ind Tech Res Inst Hollow-type cathode electricity discharging apparatus
GB0703044D0 (en) 2007-02-16 2007-03-28 Nordiko Technical Services Ltd Apparatus
US7411353B1 (en) 2007-05-11 2008-08-12 Rutberg Alexander P Alternating current multi-phase plasma gas generator with annular electrodes
EP1993329A1 (en) 2007-05-15 2008-11-19 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Plasma source
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7649316B2 (en) 2007-07-13 2010-01-19 Micron Technology, Inc. Assemblies for plasma-enhanced treatment of substrates
US8143788B2 (en) * 2007-08-31 2012-03-27 California Institute Of Technology Compact high current rare-earth emitter hollow cathode for hall effect thrusters
US20100225234A1 (en) 2007-09-04 2010-09-09 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode plasma generator
US20090071406A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Cooled backing plate
US20090071403A1 (en) 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US8409459B2 (en) 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
KR100978859B1 (ko) 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
CN102099505A (zh) 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
EA030379B1 (ru) * 2008-08-04 2018-07-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Способ нанесения тонкопленочных покрытий с использованием плазменно-химического осаждения из газовой фазы (варианты)
CA2685668A1 (en) 2008-11-24 2010-05-24 Smith International, Inc. A cutting element and a method of manufacturing a cutting element
JP5694183B2 (ja) 2008-12-08 2015-04-01 ジェネラル・プラズマ・インコーポレーテッド 自己浄化アノードを含む閉ドリフト磁場イオン源装置および同装置による基板改質プロセス
US20100186671A1 (en) 2009-01-23 2010-07-29 Applied Materials, Inc. Arrangement for working substrates by means of plasma
KR101436305B1 (ko) 2009-02-10 2014-09-02 에이저 시스템즈 엘엘시 적응형 베이스라인 보상 시스템들 및 방법들
US8476587B2 (en) 2009-05-13 2013-07-02 Micromass Uk Limited Ion source with surface coating
WO2011006018A2 (en) 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing
US20120164353A1 (en) 2009-09-05 2012-06-28 John Madocks Plasma enhanced chemical vapor deposition apparatus
US20110192348A1 (en) 2010-02-05 2011-08-11 Atomic Energy Council-Institute Of Nuclear Energy Research RF Hollow Cathode Plasma Generator
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
KR101179650B1 (ko) 2010-03-19 2012-09-04 서울대학교산학협력단 양극 주변에 영구자석 자장을 인가하여 성능개선을 한 공동형 플라즈마 토치
US20110297532A1 (en) 2010-06-07 2011-12-08 General Electric Company Apparatus and method for producing plasma during milling for processing of material compositions
US8765232B2 (en) * 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
WO2012160718A1 (ja) 2011-05-20 2012-11-29 株式会社島津製作所 薄膜形成装置
BE1019991A3 (fr) 2011-05-25 2013-03-05 Agc Glass Europe Procede de depot de couches sur un substrat verrier par pecvd a faible pression.
WO2013008344A1 (ja) 2011-07-14 2013-01-17 株式会社島津製作所 プラズマ処理装置
JP5977986B2 (ja) 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
CN102497721B (zh) 2011-11-29 2014-04-30 北京大学 双空心阴极以及双空心阴极等离子体装置和应用
EP2785892B1 (en) 2011-11-30 2017-09-27 Applied Materials, Inc. Closed loop control
WO2013091927A1 (de) 2011-12-19 2013-06-27 Fraunhofer-Ges. Zur Förderung Der Angewandten Forschung E.V. Vorrichtung zum erzeugen eines hohlkathodenbogenentladungsplasmas
CN102677022B (zh) 2012-01-04 2014-12-24 北京印刷学院 一种原子层沉积装置
US20140354119A1 (en) 2012-01-20 2014-12-04 Tms Co., Ltd Permanent magnet-type rotating machine
JP5854225B2 (ja) 2012-05-31 2016-02-09 株式会社島津製作所 プラズマcvd成膜装置
JP2013251021A (ja) 2012-05-31 2013-12-12 Sanyo Electric Co Ltd 光ピックアップ装置およびその製造方法
US20130333618A1 (en) * 2012-06-18 2013-12-19 Applied Materials, Inc. Hall effect plasma source
US20130337657A1 (en) 2012-06-19 2013-12-19 Plasmasi, Inc. Apparatus and method for forming thin protective and optical layers on substrates
CN102816987B (zh) 2012-07-05 2014-10-22 中国科学院宁波材料技术与工程研究所 一种基体表面的耐磨耐蚀复合涂层及其制备方法
US9257285B2 (en) 2012-08-22 2016-02-09 Infineon Technologies Ag Ion source devices and methods
ES2781775T3 (es) 2012-11-02 2020-09-07 Agc Inc Fuente de plasma para un aparato de CVD de plasma y un procedimiento de fabricación de un artículo por el uso de la fuente de plasma
CN103042317B (zh) 2012-12-28 2015-03-11 北京工业大学 一种铁基无磁熔覆层用合金粉末材料及熔覆层制备方法
US9337002B2 (en) 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
US20140272388A1 (en) 2013-03-14 2014-09-18 Kennametal Inc. Molten metal resistant composite coatings
WO2014142023A1 (ja) * 2013-03-15 2014-09-18 東レ株式会社 プラズマcvd装置およびプラズマcvd方法
WO2015022621A1 (en) 2013-08-11 2015-02-19 Ariel - University Research And Development Company, Ltd. Ferroelectric emitter for electron beam emission and radiation generation
JP6132801B2 (ja) * 2014-03-31 2017-05-24 富士フイルム株式会社 データ出力装置、方法及びプログラム
GB201410703D0 (en) 2014-06-16 2014-07-30 Element Six Technologies Ltd A microwave plasma reactor for manufacturing synthetic diamond material
EP3228160B1 (en) 2014-12-05 2021-07-21 AGC Glass Europe SA Hollow cathode plasma source
JP6508746B2 (ja) 2014-12-05 2019-05-08 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. マクロ粒子低減コーティングを利用したプラズマ源ならびにマクロ粒子低減コーティングを用いたプラズマ源を薄膜コーティングおよび表面改質に使用する方法
JP2018028109A (ja) 2014-12-22 2018-02-22 旭硝子株式会社 プラズマcvd装置
US9704692B2 (en) 2015-07-01 2017-07-11 Lam Research Corporation System for instantaneous radiofrequency power measurement and associated methods
CN105427014A (zh) 2015-10-27 2016-03-23 余华鸿 一种用于核电事故应急决策的方法和系统
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020047591A (ja) * 2019-11-25 2020-03-26 エージーシー ガラス ヨーロッパ 中空陰極プラズマ源

Also Published As

Publication number Publication date
CN107852805B (zh) 2020-10-16
BR112017011612A2 (pt) 2018-01-16
CN107852805A (zh) 2018-03-27
US10586685B2 (en) 2020-03-10
EA201791234A1 (ru) 2017-11-30
WO2016089424A1 (en) 2016-06-09
KR20170131343A (ko) 2017-11-29
EP3228160B1 (en) 2021-07-21
MX2017007356A (es) 2018-04-11
ES2883288T3 (es) 2021-12-07
JP2018500734A (ja) 2018-01-11
EP3228160A1 (en) 2017-10-11
KR102272311B1 (ko) 2021-07-06
MY192286A (en) 2022-08-17
US20180025892A1 (en) 2018-01-25
EP3228160A4 (en) 2018-08-01

Similar Documents

Publication Publication Date Title
JP6710686B2 (ja) 中空陰極プラズマ源、基材処理方法
US11875976B2 (en) Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
JP6175104B2 (ja) プラズマ源、及びプラズマ強化化学蒸着を利用して薄膜被覆を堆積させる方法
US10559452B2 (en) Plasma device driven by multiple-phase alternating or pulsed electrical current
EP3619734B1 (en) Linear plasma source with segmented hollow cathode
JP2020047591A (ja) 中空陰極プラズマ源
EP3377673A1 (en) Plasma device driven by multiple-phase alternating or pulsed electrical current and method of producing a plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20190301

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200108

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200527

R150 Certificate of patent or registration of utility model

Ref document number: 6710686

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250