JP6348725B2 - プラズマ処理装置の硬質脆性構成要素のための延性モード機械加工方法 - Google Patents

プラズマ処理装置の硬質脆性構成要素のための延性モード機械加工方法 Download PDF

Info

Publication number
JP6348725B2
JP6348725B2 JP2014023345A JP2014023345A JP6348725B2 JP 6348725 B2 JP6348725 B2 JP 6348725B2 JP 2014023345 A JP2014023345 A JP 2014023345A JP 2014023345 A JP2014023345 A JP 2014023345A JP 6348725 B2 JP6348725 B2 JP 6348725B2
Authority
JP
Japan
Prior art keywords
component
turning
plasma processing
ductile
hard brittle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014023345A
Other languages
English (en)
Other versions
JP2014160817A5 (ja
JP2014160817A (ja
Inventor
ジョン・エフ.・スタンプ
ティモシー・ダイアー
デビッド・アレン・ルバーグ
リホア・エル.・ホアーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014160817A publication Critical patent/JP2014160817A/ja
Publication of JP2014160817A5 publication Critical patent/JP2014160817A5/ja
Application granted granted Critical
Publication of JP6348725B2 publication Critical patent/JP6348725B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B1/00Methods for turning or working essentially requiring the use of turning-machines; Use of auxiliary equipment in connection with such methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B27/00Tools for turning or boring machines; Tools of a similar kind in general; Accessories therefor
    • B23B27/14Cutting tools of which the bits or tips or cutting inserts are of special material
    • B23B27/18Cutting tools of which the bits or tips or cutting inserts are of special material with cutting bits or tips or cutting inserts rigidly mounted, e.g. by brazing
    • B23B27/20Cutting tools of which the bits or tips or cutting inserts are of special material with cutting bits or tips or cutting inserts rigidly mounted, e.g. by brazing with diamond bits or cutting inserts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/0006Working by laser beam, e.g. welding, cutting or boring taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P6/00Restoring or reconditioning objects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D1/00Working stone or stone-like materials, e.g. brick, concrete or glass, not provided for elsewhere; Machines, devices, tools therefor
    • B28D1/16Working stone or stone-like materials, e.g. brick, concrete or glass, not provided for elsewhere; Machines, devices, tools therefor by turning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B2226/00Materials of tools or workpieces not comprising a metal
    • B23B2226/18Ceramic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P25/00Auxiliary treatment of workpieces, before or during machining operations, to facilitate the action of the tool or the attainment of a desired final condition of the work, e.g. relief of internal stress
    • B23P25/003Auxiliary treatment of workpieces, before or during machining operations, to facilitate the action of the tool or the attainment of a desired final condition of the work, e.g. relief of internal stress immediately preceding a cutting tool
    • B23P25/006Heating the workpiece by laser during machining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T82/00Turning
    • Y10T82/10Process of turning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mining & Mineral Resources (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Turning (AREA)

Description

本発明は、プラズマ処理装置の構成要素の機械加工に関し、特に、プラズマ処理装置のために非金属硬質脆性材料で形成される構成要素の一点旋削(single point turning)に関する。
半導体材料処理の分野において、例えば、基板上の様々な材料のエッチングおよび蒸着、ならびに、レジスト剥離など、様々な処理を実行するために、真空処理チャンバを備える半導体材料処理装置が利用される。半導体技術が進歩するにつれて、トランジスタのサイズが小さくなるので、ウエハ処理および処理装置において、これまで以上に高い精度、再現性、および、清浄度が求められる。半導体処理のための装置には、様々なタイプが存在し、プラズマエッチング、反応性イオンエッチング、プラズマ化学蒸着(PECVD)、および、レジスト剥離など、プラズマの利用を伴う用途が含まれる。これらの処理に求められるタイプの装置は、プラズマチャンバ内に配置されてその環境中で機能しなければならない構成要素を備える。プラズマチャンバ内部の環境は、プラズマへの暴露、エッチャントガスへの暴露、紫外線への暴露、および、温度サイクリングを含みうる。かかる構成要素に用いられる材料は、チャンバ内の環境条件に耐え、そして、ウエハごとに複数の処理工程を含みうる処理を多くのウエハに対して行う場合でも同様に耐えうるように適合される必要がある。コスト効率を良くするには、かかる構成要素は、しばしば何百回または何千回のウエハサイクルに耐えつつ、機能および清浄度を保つ必要がある。一般に、粒子を生成する構成要素の公差は、それらの粒子がほとんどなく数十ナノメートルに満たない場合でも、極度に低い。また、プラズマ処理チャンバ内部で用いるために選択される構成要素は、最大のコスト効率で、これらの要件を満たす必要がある。
このために、例えば、シャワーヘッド電極を形成する脆性構成要素に機械加工動作を施して、構成要素の表面を仕上げる。しかしながら、機械加工動作による表面処理は、脆性構成要素の表面に小さくてほとんど目に見えない微少クラックまたは穴を生じさせる。あらゆる種類の汚染物質が、微少クラックに蓄積する可能性があり、その汚染物質が、半導体処理中に微少クラックから解放されて、ウエハ上の半導体層蒸着物を汚染することがあるため、これらの微少クラックまたは表面下損傷は、後の様々な半導体製造処理(例えば、半導体層蒸着または高温アニーリング)に悪影響を及ぼす。例えば、表面下損傷を受けたチャンバ構成要素の表面が、表面下の微少クラックを引き起こして粒子を結合および遊離させる表面の腐食および/またはエッチングにより粒子を放出しうる。後者は、著しい品質低下または製品の不合格につながる。
本明細書では、非金属硬質脆性材料で製造されたプラズマ処理装置の構成要素を延性モード機械加工する方法を開示する。その方法は、ダイヤモンド切削工具で構成要素を一点旋削することにより、非金属硬質脆性材料の一部に高圧相変態を受けさせてチップ形成時に硬質脆性材料の延性相部分を形成する工程を備え、旋削面が相変化材料から形成され、旋削面は相変化材料の溝付きテクスチャ表面である。
本明細書に開示の延性モード機械加工方法に従って形成された非金属硬質脆性材料の構成要素を備えることができる半導体プラズマ処理装置のシャワーヘッド電極アセンブリを示す図。
本明細書に開示の延性モード機械加工方法に従って形成された非金属硬質脆性材料の構成要素を備えることができる半導体プラズマ処理装置を示す図。
本明細書に開示の延性モード機械加工方法に従って形成された表面を備えうる誘電体窓を示す図。 本明細書に開示の延性モード機械加工方法に従って形成された表面を備えうるガスインジェクタを示す図。
硬質脆性材料の構成要素の延性モード機械加工中に起きる高圧相変態のモデルの一例を示す図。 シリコン構成要素のα−ダイヤモンド結晶構造を示す図。 シリコン構成要素のβ−スズ結晶構造を示す図。 延性モード機械加工レジームを外れた機械加工の一実施形態で脆性破壊が起きる様子を示す図。
本明細書に開示された延性モード機械加工の一実施形態を行う前のSi構成要素を示す図。 本明細書に開示された延性モード機械加工の一実施形態を行った後のSi構成要素を示す図。
本明細書では、非金属硬質脆性材料で製造されたプラズマ処理装置の構成要素を延性モード機械加工する方法を開示する。本明細書で用いられているように、非金属硬質脆性材料とは、半導体処理チャンバの構成要素として用いるのに適切なセラミック材料、シリコン含有(単結晶または多結晶シリコン含有)材料、および/または、石英材料、より具体的には、石英、シリコン、炭化シリコン、窒化シリコン、酸化アルミニウム、窒化アルミニウム、炭化ホウ素、イットリア、ジルコニア、ダイヤモンド、サファイア、ガラスなどの材料である。通常の条件下では、半導体およびセラミック材料は、硬質かつ脆性であり、容易に塑性的に変形することがない。
これらの硬質脆性材料の塑性変形(すなわち、延性モード)を達成するために、構成要素の表面の一部に、高圧相変態を起こすことが好ましい。延性モード機械加工方法の実施形態は、一点旋削処理中の構成要素の一部に対して、切削深さ、送り速度、構成要素の回転速度、および、工具形状などのパラメータを制御することによって、小さいサイズの延性塑性応答を利用することが好ましい。ここで、一点旋削処理は、構成要素の非金属硬質脆性材料の一部が、高圧相変態を受けて脆性材料の延性相部分を形成するように実行される。
一点旋削処理は、一点ダイヤモンド切削工具、好ましくは単結晶ダイヤモンド切削工具で実行され、構成要素の非金属硬質脆性材料の塑性変形部分を形成する。構成要素の非金属硬質脆性材料の延性相部分は、相変化材料から旋削面が形成されるように一点旋削処理で除去され、旋削面は、相変化材料の溝付きのテクスチャ表面である。
旋削処理は、構成要素の旋削面が所定の形状に延性モード機械加工されるように、構成要素から非金属硬質脆性材料の延性相部分を除去することが可能であり、非晶相の残り部分が溝付きテクスチャ表面を形成しうる。構成要素の旋削面の相変化材料は、仕上げ面を形成し、構成要素が高純度シリコンで製造された場合、相変化材料は、α−Si、Si−III、Si−XII、または、それらの混合物を含み、所定の起伏(contoured)表面形状および所定の表面粗さ(Ra)を有しうる。構成要素の旋削面の所定の表面粗さは、旋削された構成要素の溝付きテクスチャ表面を形成する。形成されると、構成要素の旋削面の表面粗さは、約0.001から0.2μmの粗さを有することが好ましい。本明細書で用いられているように、「表面粗さ」は、表面粗さの測定値の算術平均値(Ra)として表される。さらに、本明細書で用いられているように「約」という用語は、±10%を意味する。
好ましくは、プラズマ処理装置の構成要素の延性モード機械加工方法の実施形態は、高圧相変態から形成された仕上げ旋削面を有する構成要素を形成し、相変化材料が、仕上げ旋削面を形成し、仕上げ旋削面を形成する相変化材料は、約0.01から2μmの厚さを有し、より好ましくは約0.01から0.5μmの厚さを有する。
プラズマ処理装置での利用に適切な構成要素は、セラミック材料、シリコン含有材料、および/または、石英材料から形成され、エッジリング、シャワーヘッド電極、チャンバ窓、チャンバライナ、ガスインジェクタ、プラズマ閉じ込めリング、および、静電チャックを含みうる。
図1は、上側電極103、上側電極103に固定された随意的なバッキング部材102、温度制御プレート101、および、トッププレート111を備える平行平板型容量結合プラズマチャンバ(真空チャンバ)のシャワーヘッドアセンブリ100の半分を示す。熱チョーク112が、温度制御プレート101の上面に設けられてよい。さらに、シャワーヘッドアセンブリ100は、プラズマ閉じ込めリング110を備えてもよい。上側電極103は、静電チャックを埋め込まれた基板支持体160の上方に配置されている。基板支持体160は、半導体基板162(例えば、半導体ウエハ)を支持するよう構成されており、エッジリング163が、半導体基板162の処理中にエッチングの均一性を高めるために半導体基板162の周囲に嵌められてよい。基板支持体160の上面は、中に静電チャックを備えており、平面であってよく、その面は、本明細書に開示された延性モード機械加工方法で形成されることが好ましい。別の実施形態において、基板支持体160の上面は、基板の背面にヘリウムを供給するための溝を備えてもよく、その上面は、本明細書に開示された延性モード機械加工方法で形成されることが好ましい。基板の背面にヘリウムを供給するための溝を備えた基板支持体の詳細については、同一出願人による米国特許第7,869,184号に記載されており、その特許は、参照によって本明細書に全体が組み込まれる。
トッププレート111は、プラズマ処理装置(プラズマエッチングチャンバなど)の着脱可能な上壁を形成しうる。図に示すように、上側電極103は、内側電極部材105および随意的な外側電極部材107を備えたシャワーヘッド電極であってよい。内側電極部材105は、通例、単結晶シリコンから形成される。必要に応じて、内側および外側電極105、107は、CVD炭化シリコン、単結晶シリコン、または、他の適切な材料(例えば、酸化アルミニウムなどを含むシリコン系電極材料)などの単一ピースの材料から形成されてよい。
単結晶シリコンは、内側電極部材105および外側電極部材107のプラズマ暴露面に好ましい材料である。高純度単結晶シリコンは、反応チャンバ内に導入する望ましくない元素が最小限であり、さらに、プラズマ処理中に滑らかに摩耗することによって粒子の発生を最小限に抑えるので、プラズマ処理中の基板の汚染を最小限に抑える。
シャワーヘッド電極アセンブリ100は、300mmの直径を有する半導体ウエハなど、大きい基板を処理するようなサイズを有しうる。300mmウエハに対しては、上側電極103は、少なくとも300mmの直径を有する。ただし、シャワーヘッド電極アセンブリは、その他のウエハサイズ、または、非円形の構成を有する基板を処理するようなサイズであってもよい。
図2は、プラズマ処理チャンバ10の別の実施形態の断面図であり、プラズマ処理チャンバ10は誘導結合される。ICPプラズマ処理チャンバの一例は、カリフォルニア州フレモント市のラムリサーチ社によって製造されたTCP(登録商標)エッチング/蒸着システムである。ICPプラズマ処理チャンバは、例えば、同一出願人による米国特許第6,805,952号にも記載されており、この特許は、参照によって全体が本明細書に組み込まれる。処理チャンバ10は、支持面14を有する基板支持体12を備える。支持面14は、基板16を支持するよう適合されている。真空ポンプ18が、処理チャンバ10の内部を低圧(例えば、約1mTorrから約50mTorrの間)に維持するために、ポンプポート20に取り付けられている。ガス源22が、ガス分配プレート、シャワーヘッド装置、インジェクタ、または、その他の適切な装置を通して、処理チャンバ10の内部に処理ガスを供給する。処理ガスは、ガス分配部材24によって基板16に隣接する領域に導入されうる。
一実施形態では、誘電体窓32が、平面アンテナ26の下にあり、プラズマ処理チャンバ10の上壁を形成する。誘電体窓32は、それを通して伸びる貫通路を有してよく、ガスインジェクタが挿入されて、プラズマ処理チャンバ10へ処理ガスを提供しうる。高密度プラズマ31が、基板16の蒸着またはエッチングのいずれかのために、誘電体窓32および基板16の間の領域に生成される。
図3Aは、(本明細書に開示された延性モード機械加工方法に従って平坦化されうる)平行な平面42、側面44、および、貫通路46を有する誘電体窓32の一例を示す。誘電体窓は、石英またはセラミック材料で製造されてよく、延性モード機械加工処理が、平行な平面42の内の少なくともプラズマ暴露面(すなわち、下側の平行な平面)の仕上げ面、より好ましくは平行な平面42の両方の仕上げ面を形成する。ガスインジェクタ50が、貫通路46を通して伸びることが好ましい。図3Bの断面図に示すように、ガスインジェクタ50は、上端にフランジ43を有する円筒体40と、上側の軸端に沿って伸びる中央穴45と、穴および下側の軸端の外面の間に伸びる複数のガス穴47と、O−リング溝48、51と、を備える。ガスインジェクタは、セラミックまたは石英材料などの誘電材料で製造されてよく、ガスインジェクタ50は、処理ガスを供給するための穴47を備える。本明細書に開示された方法に従って形成できるデュアルゾーンガスインジェクタおよび誘電体窓を備えた調節可能なマルチゾーンガス注入システムの例の詳細については、同一出願人による米国特許出願第2010/0041238号に見いだすことができ、その出願は、参照によってその全体が組み込まれる。
好ましい実施形態によると、プラズマ処理装置の非金属硬質脆性構成要素は、延性モード機械加工処理によって形成されたプラズマ暴露面を備える。本明細書に開示の延性モード機械加工方法は、シャワーヘッド電極、ガス分配プレート、ガスインジェクタ、エッジリング、または、誘電体窓など、プラズマ処理装置の任意の構成要素の起伏形状面を形成するために用いられてよく、構成要素は、石英、シリコン、炭化シリコン、窒化シリコン、酸化アルミニウム、窒化アルミニウム、炭化ホウ素、イットリア、ジルコニア、ダイヤモンド、サファイア、ガラスなどの非金属硬質脆性材料で製造される。例えば、起伏面形状は、構成要素のベベル、面取り部、または、円弧の三次元表面であってよい。あるいは、平面が、構成要素上に形成されてもよく、延性モード機械加工された面は、平面の平坦度の変動が約1.4μm未満であり、より好ましくは、平面の平坦度の変動約0.3μm未満である。
方法は、切削深さを制御しつつダイヤモンド切削工具で構成要素を一点旋削することにより、非金属硬質脆性材料の一部に高圧相変態を受けさせてチップ形成時に脆性材料の延性相部分および非晶相部分を形成させる工程を備える。方法は、さらに、構成要素の表面が所定の形状に旋削されるように、硬質脆性材料の非晶相部分の一部を除去する工程を備える。旋削面は、溝を加工され(溝付きテクスチャ表面)、約0.001から0.2μmの所定の表面粗さを有し、旋削面の表面粗さが溝を形成する。旋削面の表面粗さは、送り速度、構成要素の回転速度、切削工具の形状、切削深さなどのプロセスパラメータによって制御されてよく、例えば、切削面が不揮発性エッチング副生成物および処理残留物の付着を増大させるように、所定の表面粗さを選択できる。形成されると、非金属硬質脆性材料の構成要素の延性モード機械加工された面は、表面下損傷を持たないことが好ましく、構成要素の溝付きテクスチャ表面は、約0.01から2μm、より好ましくは約0.01から0.5μmの厚さを有する相変化材料から形成され、相変化材料は、構成要素の仕上げ旋削面を形成する。
延性モード機械加工処理中に、非金属硬質脆性材料から形成される構成要素は、機械加工が脆性モードとは対照的な延性モードで実行されるように、高圧相変態を受けることが好ましく、延性モードは、機械加工中の硬質脆性材料の脆性破壊を低減しうる。切削工具と構成要素との間の接触界面で起こるような、極端な高圧下では、誘電材料、半導体材料、導電材料、石英材料、シリコン含有材料、および、セラミック材料は、共有結合および/またはイオン結合構造から高圧相変態金属構造に変態する。一点旋削を行うダイヤモンド切削工具は、構成要素の一部が相変化を受けて高圧金属相部分に変態するように、非金属硬質脆性材料の構成要素に圧力を加える。
図4Aは、本明細書に開示された延性モード機械加工方法中のシリコン構成要素400および切削工具405の間の接触点での高圧相変態を示す。高圧相変態は、シリコン構成要素の上面に延性相部分401および周囲の非晶相部分402を形成する。シリコンの一点旋削処理の際、非晶相の表面層がチップ形成中に除去され、残りの相変化材料がシリコン構成要素400の旋削面403を形成する。切削工具とシリコン構成要素との間の接触点で生じる高圧相変態は、シリコンを高圧延性相に変換する。シリコンの延性モード機械加工中、シリコンは、圧力が11GPaに達するとβ−スズに変態するα−ダイヤモンド構造を有しており、圧力が解除されると、非晶質のSi−IIIおよびSi−XII相が形成される。Siの過渡的なβ−Sn相結晶構造は図4Cに示されており、シリコンのα−ダイヤモンド相結晶構造は図4Bに示されている。
図4Dは、用いられた圧力が不十分な場合の切削処理を示しており、図に示すように、シリコン構成要素400の脆性破壊404が起きている。ダイヤモンド切削工具は、工具ノーズ半径が約0.1から7mmである一点ダイヤモンド切削工具であることが好ましい。一点ダイヤモンド切削工具の一点は、単結晶天然ダイヤモンドであることが好ましく、工具ノーズ半径は、ダイヤモンドの結晶構造によって決定される。あるいは、一点ダイヤモンド切削工具は、合成ダイヤモンドであってもよい。ダイヤモンド切削工具の鋭さのために、旋削処理中にダイヤモンド切削工具を非金属硬質脆性材料の構成要素に接触させることにより、高圧延性相変態が、ダイヤモンド切削工具と構成要素との間の接触点で起こる。
機械加工は、一点旋削処理を用いてダイヤモンド切削工具によって実行されるのが好ましい。ダイヤモンド切削工具は、単結晶天然ダイヤモンド切削工具または合成ダイヤモンド切削工具を備えた旋盤またはフライカッティングマシンであってよい。ダイヤモンド切削工具は、非金属硬質脆性材料の構成要素が回転されている間、固定されてよい。硬質脆性材料の構成要素は毎分約500から1,500回転で回転されることが好ましく、毎分約500から1,000回転で回転されることがより好ましい。一点旋削処理は、硬質脆性材料から形成された構成要素の表面に平面または起伏した幾何学的形状を機械加工するよう構成される。さらなる実施形態では、回転研削工具を実装する旋盤が、非金属硬質脆性材料の構成要素に最初の機械加工(すなわち、研削)すなわち荒仕上げを施して所定の形状にするために用いられる。次いで、次の工程において、研削工具は旋盤から回転されて外され、ダイヤモンド切削工具が旋盤へ回転される。次いで、旋盤は、本明細書に開示された延性モード機械加工方法を実行してよく、つまり、非金属硬質脆性材料の構成要素を取り除いたり移動させたりする必要なく、最初の形削り工程(例えば、研削)および仕上げ工程(例えば、一点旋削)を単一の機械で実行できる。
切削工具は、レーザで支援されてもよく、その場合、構成要素の高圧変態部分および周囲の部分にレーザ光が照射される。レーザ光は、構成要素の高圧相変態部分を加熱して硬度を減少させる高圧相変態を受けた構成要素の表面の部分によって吸収されることが好ましい。高圧相変態部分はレーザ光を吸収するが、構成要素の残りの部分はレーザ光を透過するので、高圧相変態部分は選択的に加熱および軟化するが、構成要素の残りの部分はほとんど影響を受けず、その結果、選択的に加熱された部分は、より容易に高圧相(例えば、非晶相部分および/または延性相部分)に変態されうる。レーザ光は、約400から1500ナノメートルの波長を有することが好ましい。
送り速度は、機械加工される構成要素の表面の表面粗さを減少および/または制御するなど、延性モード機械加工処理に影響する要素である。表面仕上げの品質は、切削工具と構成要素との間の送り速度を制御することによって達成されうる。送り速度は、約0.1から50μm/回転であることが好ましく、約0.2から3μm/回転であることがより好ましく、送り速度が大きいほど、表面粗さを増すことができる。構成要素の延性モード機械加工中、送り速度は、構成要素の表面粗さを増加または減少させうるように、増加および/または減少されてよい。非金属硬質脆性材料の構成要素の表面の表面粗さは、切削工具の切削深さに従って制御されてもよく、切削深さが大きくなるほど表面粗さが大きくなる。切削深さは、約0.25から50μmであることが好ましい。構成要素の延性モード機械加工中、切削深さは、構成要素の表面粗さを増加または減少させうるように、増加および/または減少されてよい。
切削深さおよび送り速度が低減されると、切削力も低減される。切削力は、表面粗さの関数でありえ、表面が粗いほど切削力が高くなり、切削力が高いと切削工具の寿命が短くなりうる。したがって、機械加工中の切削深さおよび送り速度を小さくすれば、より小さい表面粗さを有する構成要素の表面を提供することができ、機械加工中に印加される切削力の減少によって切削工具の寿命を延ばすことができる。
非金属硬質脆性材料の構成要素の一点旋削は、溝付きテクスチャ表面を形成し、旋削面のテクスチャは、らせん状のパターンであることが好ましい。溝付きテクスチャ表面のらせん状パターンは、らせん状の内向きパターン、らせん状の外向きパターン、または、必要に応じて、らせん状の内向きおよび外向きパターンの組み合わせによって形成されてよい。溝付きテクスチャ表面は、さらに、光回折パターンを示す。光回折パターンは、回折格子の形態であることが好ましく、回折格子は、らせん状パターンの溝によって形成される。らせん状パターンの溝の寸法は、切削工具の刃形、送り速度、構成要素の回転速度、および、切削深さに依存し、らせん状パターンの溝の寸法は、構成要素の表面形状に基づいて変化しうる。
構成要素の延性モード機械加工面の欠陥および品質管理情報が、肉眼視データ、散乱計データ、干渉計データ、顕微鏡データを通して収集および示されてもよい。溝付きパターンにおける不規則性(不均一な表面粗さおよび/または露出した表面下損傷など)は、散乱計画像において明点または暗点のいずれかとして現れうる。例えば、溝のない構成要素の領域(すなわち、延性モード機械加工を受けていない構成要素の領域)は、主に、鏡面的に光を散乱させ、散乱光は、入射角が反射角とほぼ等しい角度から見ると明るく見える。散乱計データは、約630nmの波長のレーザを用いて収集されてよい。レーザは、構成要素の法線に関して約30から45度の角度だけ傾けられ、散乱計データは、部品がその中心を軸として回転される間に、一定の半径で収集されることが好ましい。延性モード機械加工された構成要素は、回転されると、同じ散乱パターンを示すことが好ましい。
図5Aは、延性モード機械加工を受ける前のSi構成要素600を示す。図5Bは、本明細書に開示された延性モード機械加工の一実施形態を行った後のSi構成要素600を示す。図5Bに示すように、Si構成要素600は、回折格子の形態の光回折パターンを備えており、回折格子は、一点旋削処理によって形成されたらせん状パターンの溝によって形成されている。
本明細書では、さらに、プラズマ処理装置の構成要素を交換する方法が開示されている。その方法は、使用済み構成要素(シャワーヘッド電極など)が腐食した時に、使用済み構成要素をプラズマ処理装置から取り除き、使用済み構成要素を、本明細書に開示された方法に従って形成された構成要素に交換すること、を含む。また、脆性材料の構成要素(シャワーヘッド電極など)が、腐食した時にプラズマ処理装置から取り除かれ、本明細書に開示された方法に従って形成された修復済みの構成要素と交換されてもよい。
さらに、本明細書では、プラズマ処理装置内で半導体基板をエッチングする方法が開示されている。その方法は、本明細書に開示された延性モード機械加工の一実施形態に従って形成された構成要素をプラズマ処理装置のプラズマチャンバに取り付け、プラズマチャンバ内で少なくとも1つの半導体基板をプラズマエッチングすること、を含む。
当業者であれば、本発明は、その精神または基本的な特徴から逸脱することなく、他の具体的な形態で実施できることがわかる。したがって、ここで開示された実施形態は、すべての点において例示であり、限定を意図したものではないと見なされる。本発明の範囲は、上述の記載ではなく添付の請求項によって与えられ、本発明の意味および等価物の範囲内のすべての変形物が含まれるよう意図されている。
適用例1:プラズマ処理装置の非金属硬質脆性材料から成る構成要素を延性モード機械加工する方法であって、
ダイヤモンド切削工具で前記構成要素を一点旋削することにより、前記非金属硬質脆性材料の一部に高圧相変態を受けさせてチップ形成時に前記硬質脆性材料の延性相部分を形成することを備え、
旋削面が相変化材料から形成され、前記旋削面は相変化材料の溝付きテクスチャ表面である、方法。
適用例2:適用例1に記載の方法であって、(a)前記構成要素の前記旋削面の所定の表面粗さ(Ra)は前記旋削された構成要素の前記溝付きテクスチャ表面を形成し、(b)前記旋削面の前記所定の表面粗さは約0.001から0.2μmであり、(c)前記旋削面の前記溝付きテクスチャ表面はらせん状のパターンであり、(d)前記旋削面の前記溝付きテクスチャ表面は光回折パターンを示し、(e)前記旋削面の前記溝付きテクスチャ表面は仕上げ旋削面を形成し、および/または、(f)前記構成要素はSiで形成され、前記旋削面を形成する前記相変化材料は非晶質シリコンを含む、方法。
適用例3:適用例1に記載の方法であって、前記溝付きテクスチャ表面には、表面下損傷がない、方法。
適用例4:適用例1に記載の方法であって、前記高圧変態部分には、レーザ光が照射され、(a)前記レーザ光は、前記延性相材料によって吸収されることで前記延性相材料を加熱して硬度を減少させ、および/または、(b)前記レーザ光は、約400から1500ナノメートルの波長を有する、方法。
適用例5:適用例1に記載の方法であって、(a)前記切削深さは約0.25から50μmであり、前記送り速度は約0.1から50μm/回転であり、前記構成要素は約500から1,500回転/分で回転され、(b)前記切削深さは約0.25から50μmであり、前記送り速度は約0.2から3μm/回転であり、前記構成要素は約500から1,000回転/分で回転され、および/または、(c)前記切削深さは前記構成要素の一点旋削中に増大および/または減少され、および/または、(d)前記送り速度は前記構成要素の一点旋削中に増大および/または減少される、方法。
適用例6:適用例1に記載の方法であって、前記脆性材料は、セラミック材料、シリコン含有材料、および、石英材料からなる群より選択される、方法。
適用例7:適用例1に記載の方法であって、前記構成要素は、エッジリング、シャワーヘッド電極、窓、ガスインジェクタ、プラズマ閉じ込めリング、チャンバライナ、または、静電チャックを含む、方法。
適用例8:適用例1に記載の方法であって、前記構成要素は、プラズマ処理装置の誘電体チャンバ部品である、方法。
適用例9:適用例1に記載の方法であって、(a)前記構成要素の前記旋削面は、起伏面形状を有し、および/または、(b)前記構成要素の前記旋削面は、平面を形成する、方法。
適用例10:適用例9に記載の方法であって、前記起伏面形状は、ベベル、面取り部、曲面の三次元表面である、方法。
適用例11:適用例9に記載の方法であって、(a)前記平面の平坦度の変動は、約1.4μm未満であり、および/または、(b)前記平面の平坦度の変動は、約0.3μm未満である、方法。
適用例12:適用例1に記載の方法であって、(a)前記延性モード機械加工された構成要素の前記旋削面を形成する前記相変化材料は、約0.01から2μmの厚さを有し、および/または、(b)前記延性モード機械加工された構成要素の前記旋削面を形成する前記相変化材料は、約0.01から0.5μmの厚さを有する、方法。
適用例13:適用例1に記載の方法であって、さらに、前記旋削面の光学データを収集し、不規則性を検出できるように前記光学データを処理すること、を備える、方法。
適用例14:適用例13に記載の方法であって、(a)前記光学データは、肉眼視、散乱計、顕微鏡、または、干渉計で収集され、および/または、(b)不規則性の検出は、不均一な表面粗さまたは露出した表面下損傷の検出を含む、方法。
適用例15:適用例1に記載の方法であって、さらに、(a)前記構成要素を延性モード機械加工する前に、旋盤に設置された研削工具で、硬質脆性材料の前記構成要素を所定の形状に研削すなわち荒削りし、および/または、(b)前記構成要素を所定の形状にダイヤモンド旋削できるように、前記研削工具から、前記旋盤に設置されたダイヤモンド切削工具に切り替えることを備える、方法。
適用例16:適用例1に記載の方法であって、前記一点旋削を実行する前記ダイヤモンド切削工具は、(a)単結晶天然ダイヤモンド切削工具、または、(b)合成ダイヤモンド切削工具である、方法。
適用例17:プラズマ処理装置の構成要素を交換する方法であって、使用済み構成要素が腐食した時に、前記使用済み構成要素を前記プラズマ処理装置から取り除きお、前記使用済み構成要素を請求項1の方法で製造された前記構成要素に交換すること、を備える、方法。
適用例18:適用例17に記載の方法であって、前記使用済み構成要素は、請求項1の方法で表面を修復された修復済み構成要素に交換される、方法。
適用例19:適用例17に記載の方法であって、前記構成要素の材料は、セラミック材料、シリコン含有材料、および、石英材料からなる群より選択される、方法。
適用例20:プラズマ処理装置内で半導体基板をエッチングする方法であって、請求項1の方法で形成された構成要素を前記プラズマ処理装置のプラズマエッチングチャンバ内に設置し、前記プラズマチャンバ内で少なくとも1つの半導体基板をエッチングすること、を備える、方法。

Claims (20)

  1. プラズマ処理装置の非金属硬質脆性材料から成る構成要素を延性モード機械加工する方法であって、
    ダイヤモンド切削工具で前記構成要素を一点旋削することにより、前記非金属硬質脆性材料の一部に高圧相変態を受けさせてチップ形成時に前記非金属硬質脆性材料の延性相部分を形成することを備え、
    旋削面が相変化材料から形成され、前記旋削面は相変化材料の溝付きテクスチャ表面である、方法。
  2. 請求項1に記載の方法であって、(a)前記構成要素の前記旋削面の所定の表面粗さ(Ra)は旋削された前記構成要素の前記溝付きテクスチャ表面を形成すること、(b)前記旋削面の前記所定の表面粗さは約0.001から0.2μmであること、(c)前記旋削面の前記溝付きテクスチャ表面はらせん状のパターンであること、(d)前記旋削面の前記溝付きテクスチャ表面は光回折パターンを示すこと、(e)前記旋削面の前記溝付きテクスチャ表面は仕上げ旋削面を形成すること、および(f)前記構成要素はSiで形成され、前記旋削面を形成する前記相変化材料は非晶質シリコンを含むことの少なくともいずれか1つを含む、方法。
  3. 請求項1に記載の方法であって、前記溝付きテクスチャ表面には、表面下損傷がない、方法。
  4. 請求項1に記載の方法であって、前記高圧相変態を受ける分は、レーザ光が照射され、(a)前記レーザ光は、前記延性相部分によって吸収されることで前記延性相部分を加熱して前記延性相部分の硬度を減少させ、および/または、(b)前記レーザ光は、約400から1500ナノメートルの波長を有する、方法。
  5. 請求項1に記載の方法であって、(a)前記切削の深さは約0.25から50μmであり、前記送り速度は約0.1から50μm/回転であり、前記構成要素は約500から1,500回転/分で回転されること、(b)前記切削の深さは約0.25から50μmであり、前記送り速度は約0.2から3μm/回転であり、前記構成要素は約500から1,000回転/分で回転されること、および(c)前記切削の深さは前記構成要素の一点旋削中に増大および/または減少されること、または、(d)前記送り速度は前記構成要素の一点旋削中に増大および/または減少されることの少なくともいずれか1つを含む、方法。
  6. 請求項1に記載の方法であって、前記非金属硬質脆性材料は、セラミック材料、シリコン含有材料、および、石英材料からなる群より選択される、方法。
  7. 請求項1に記載の方法であって、前記構成要素は、エッジリング、シャワーヘッド電極、窓、ガスインジェクタ、プラズマ閉じ込めリング、チャンバライナ、または、静電チャックを含む、方法。
  8. 請求項1に記載の方法であって、前記構成要素は、前記プラズマ処理装置の誘電体チャンバ部品である、方法。
  9. 請求項1に記載の方法であって、(a)前記構成要素の前記旋削面は、起伏面形状を有し、および/または、(b)前記構成要素の前記旋削面は、平面を形成する、方法。
  10. 請求項9に記載の方法であって、前記起伏面形状は、ベベル、面取り部、曲面の三次元表面である、方法。
  11. 請求項9に記載の方法であって、(a)前記平面の平坦度の変動は、約1.4μm未満であり、および/または、(b)前記平面の平坦度の変動は、約0.3μm未満である、方法。
  12. 請求項1に記載の方法であって、(a)前記延性モード機械加工された構成要素の前記旋削面を形成する前記相変化材料は、約0.01から2μmの厚さを有し、および/または、(b)前記延性モード機械加工された構成要素の前記旋削面を形成する前記相変化材料は、約0.01から0.5μmの厚さを有する、方法。
  13. 請求項1に記載の方法であって、さらに、前記旋削面の光学データを収集し、不規則性を検出できるように前記光学データを処理すること、を備える、方法。
  14. 請求項13に記載の方法であって、(a)前記光学データは、肉眼視、散乱計、顕微鏡、または、干渉計で収集され、および/または、(b)不規則性の検出は、不均一な表面粗さまたは露出した表面下損傷の検出を含む、方法。
  15. 請求項1に記載の方法であって、さらに、(a)前記構成要素を延性モード機械加工する前に、旋盤に設置された研削工具で、前記非金属硬質脆性材料の前記構成要素を所定の形状に研削すなわち荒削りし、および/または、(b)前記構成要素を所定の形状にダイヤモンド旋削できるように、前記研削工具から、前記旋盤に設置されたダイヤモンド切削工具に切り替えることを備える、方法。
  16. 請求項1に記載の方法であって、前記一点旋削を実行する前記ダイヤモンド切削工具は、(a)単結晶天然ダイヤモンド切削工具、または、(b)合成ダイヤモンド切削工具である、方法。
  17. プラズマ処理装置の構成要素を交換する方法であって、使用済み構成要素が腐食した時に、前記使用済み構成要素を前記プラズマ処理装置から取り除き、前記使用済み構成要素を請求項1の方法で製造された前記構成要素に交換すること、を備える、方法。
  18. 請求項17に記載の方法であって、前記使用済み構成要素は、請求項1の方法で表面を修復された修復済み構成要素に交換される、方法。
  19. 請求項17に記載の方法であって、前記構成要素の材料は、セラミック材料、シリコン含有材料、および、石英材料からなる群より選択される、方法。
  20. プラズマ処理装置内で半導体基板をエッチングする方法であって、請求項1の方法で形成された構成要素を前記プラズマ処理装置のプラズマエッチングチャンバ内に設置し、前記プラズマエッチングチャンバ内で少なくとも1つの半導体基板をエッチングすること、を備える、方法。
JP2014023345A 2013-02-20 2014-02-10 プラズマ処理装置の硬質脆性構成要素のための延性モード機械加工方法 Active JP6348725B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/771,933 2013-02-20
US13/771,933 US8893702B2 (en) 2013-02-20 2013-02-20 Ductile mode machining methods for hard and brittle components of plasma processing apparatuses

Publications (3)

Publication Number Publication Date
JP2014160817A JP2014160817A (ja) 2014-09-04
JP2014160817A5 JP2014160817A5 (ja) 2017-03-09
JP6348725B2 true JP6348725B2 (ja) 2018-06-27

Family

ID=51305289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014023345A Active JP6348725B2 (ja) 2013-02-20 2014-02-10 プラズマ処理装置の硬質脆性構成要素のための延性モード機械加工方法

Country Status (5)

Country Link
US (1) US8893702B2 (ja)
JP (1) JP6348725B2 (ja)
KR (1) KR102212055B1 (ja)
CN (1) CN103990815B (ja)
TW (1) TWI630999B (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6091474B2 (ja) * 2014-09-26 2017-03-08 ジヤトコ株式会社 ワークの表面加工方法
CN105234429B (zh) * 2015-11-10 2018-02-09 天津津航技术物理研究所 一种等刀纹间距恒线速度单点金刚石车削方法
US10662520B2 (en) 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
WO2020163427A1 (en) * 2019-02-06 2020-08-13 Lam Research Corporation Textured silicon semiconductor processing chamber components
CN110253220B (zh) * 2019-06-19 2020-10-09 中国科学院长春光学精密机械与物理研究所 一种金刚石单点车辅助离子束抛光金属镜的方法
CN110952144B (zh) * 2019-12-11 2020-10-09 山东大学 一种基于机械加工方式制备纤锌矿型硅晶体的方法
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
JP7454983B2 (ja) * 2020-03-30 2024-03-25 東京エレクトロン株式会社 エッジリング及びプラズマ処理装置
CN115446999A (zh) * 2022-09-27 2022-12-09 河北同光半导体股份有限公司 一种改善碳化硅衬底局部轮廓质量的方法
CN115954257B (zh) * 2023-03-14 2023-05-23 长鑫存储技术有限公司 衬底处理装置、气体约束组件及其调节方法、调节装置

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4356376A (en) * 1981-05-13 1982-10-26 General Electric Company Pulse laser pretreated machining
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JPH06177076A (ja) 1992-12-02 1994-06-24 Nisshinbo Ind Inc プラズマエッチング用電極
US5684293A (en) 1995-11-29 1997-11-04 Eastman Kodak Company Anti-aliasing low-pass blur filter for reducing artifacts in imaging apparatus
JPH09239639A (ja) 1996-03-04 1997-09-16 Jiro Otsuka Aeを用いた延性モード加工装置
JP3454333B2 (ja) 1996-04-22 2003-10-06 日清紡績株式会社 プラズマエッチング電極
DE69704227T2 (de) 1996-04-22 2001-08-30 Nisshin Spinning Verfahren zur Herstellung von Formkörpern aus Siliciumcarbid
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6162367A (en) 1997-01-22 2000-12-19 California Institute Of Technology Gas-phase silicon etching with bromine trifluoride
JP3023428B2 (ja) * 1997-05-08 2000-03-21 重臣 越水 Fl等を用いた硬脆材料の加工モード判別装置
US6858080B2 (en) 1998-05-15 2005-02-22 Apollo Diamond, Inc. Tunable CVD diamond structures
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000306886A (ja) * 1999-04-19 2000-11-02 Hitachi Chem Co Ltd プラズマエッチング電極
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
DE19928949A1 (de) * 1999-06-24 2001-01-04 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6418921B1 (en) 2001-01-24 2002-07-16 Crystal Systems, Inc. Method and apparatus for cutting workpieces
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6443817B1 (en) 2001-02-06 2002-09-03 Mccarter Technology, Inc. Method of finishing a silicon part
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6916503B2 (en) 2001-09-06 2005-07-12 Konica Corporation Base material to be coated, coating apparatus, coating method and element producing method
US6860795B2 (en) 2001-09-17 2005-03-01 Hitachi Global Storage Technologies Netherlands B.V. Edge finishing process for glass or ceramic disks used in disk drive data storage devices
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
KR100463131B1 (ko) * 2002-02-14 2004-12-23 삼성종합부라쉬 주식회사 화장용 브러시 손잡이 및 그 제조방법
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
TW580416B (en) 2002-11-28 2004-03-21 Ind Tech Res Inst Laser-assisted machining process
JP4556383B2 (ja) * 2002-11-29 2010-10-06 コニカミノルタホールディングス株式会社 転写光学面の加工方法
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US9220576B2 (en) 2003-08-07 2015-12-29 Ivoclar Vivadent Ag Machining of ceramic materials
JP2005082414A (ja) * 2003-09-04 2005-03-31 Tokyo Metropolis セラミック材の切削方法及び切削装置
US20060108325A1 (en) 2004-11-19 2006-05-25 Everson William J Polishing process for producing damage free surfaces on semi-insulating silicon carbide wafers
US8656815B2 (en) 2004-12-06 2014-02-25 Konica Minolta Opto, Inc. Transfer optical surface machining method, optical device producing mold and optical device
JP2007181882A (ja) * 2004-12-06 2007-07-19 Konica Minolta Opto Inc 転写光学面の加工方法、光学素子用成形金型及び光学素子
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
US7507670B2 (en) 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR20080014778A (ko) 2005-04-18 2008-02-14 호쿠리쿠세이케고교 가부시키가이샤 샤워 플레이트 및 그 제조 방법
US7508116B2 (en) 2005-09-07 2009-03-24 Panasonic Corporation Method and apparatus for vibration machining with two independent axes
CA2558898C (en) * 2005-09-07 2013-11-05 Purdue Research Foundation Laser assisted machining process with distributed lasers
US7184657B1 (en) 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
WO2007040528A1 (en) 2005-09-28 2007-04-12 The Board Of Trustees Of Western Michigan University, A Corporation Of The State Of Michigan Micro laser assisted machining
US20070108161A1 (en) 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US20070284339A1 (en) 2006-06-09 2007-12-13 Moore David O Plasma etching chamber parts made with EDM
US9279178B2 (en) 2007-04-27 2016-03-08 Honeywell International Inc. Manufacturing design and processing methods and apparatus for sputtering targets
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
DK2172293T3 (da) 2007-06-22 2012-12-10 Hitachi Tool Eng Dybhulsbor med lille diameter og fremgangsmåde til bearbejdning af fine dybe huller
JP5186504B2 (ja) 2007-09-06 2013-04-17 株式会社クリエイティブ テクノロジー 静電チャック装置におけるガス供給構造の製造方法及び静電チャック装置ガス供給構造並びに静電チャック装置
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
JP5138352B2 (ja) * 2007-12-17 2013-02-06 東芝機械株式会社 加工方法および加工装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP2010115741A (ja) 2008-11-12 2010-05-27 Toshiba Mach Co Ltd 高硬度材料の切削加工方法および切削加工機械
KR101319455B1 (ko) 2009-04-27 2013-10-17 쿄세라 코포레이션 드릴 및 이 드릴을 사용하는 피삭재의 절삭방법
KR200479181Y1 (ko) 2009-09-10 2015-12-30 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
US20110265616A1 (en) 2010-04-30 2011-11-03 University Of Pittsburgh-Of The Commonwealth System Of Higher Education Ultra-pure, single-crystal sic cutting tool for ultra-precision machining

Also Published As

Publication number Publication date
CN103990815A (zh) 2014-08-20
CN103990815B (zh) 2018-09-18
US20140235061A1 (en) 2014-08-21
JP2014160817A (ja) 2014-09-04
KR20140104396A (ko) 2014-08-28
KR102212055B1 (ko) 2021-02-04
US8893702B2 (en) 2014-11-25
TW201501896A (zh) 2015-01-16
TWI630999B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
JP6348725B2 (ja) プラズマ処理装置の硬質脆性構成要素のための延性モード機械加工方法
TWI525676B (zh) 基板安裝工作台和其表面處理方法
JP2014160817A5 (ja)
US10395963B2 (en) Electrostatic chuck
US7672110B2 (en) Electrostatic chuck having textured contact surface
TWI601616B (zh) 用於電漿處理設備之脆性元件的靭性模式鑽孔方法
JP2565635B2 (ja) プラズマエッチングによる半導体材料の表面下の損傷の除去方法および装置
TWI694510B (zh) 晶圓的加工方法及電子元件
JP6050317B2 (ja) ガス分配プレート表面を改修するための方法及び装置
EP2610897A1 (en) Plasma-resistant member and method for regenerating same
TW201334020A (zh) 具備有刻紋的抗電漿塗層之電漿處理室的元件
JP2001044147A (ja) 半導体ウェーハの面取り面の形成方法
IL246481A (en) Method and system for surface alignment
US10604836B2 (en) Methods for surface preparation of sputtering target
JP2001274048A (ja) 半導体装置の製造方法及び加工装置
JP7412142B2 (ja) ウェーハの加工方法
KR20050016547A (ko) 반도체를 제조하는 공정에서 사용하는 실리카유리지그 및그 제조방법
JP6072166B1 (ja) 表面変質層深さ測定方法、半導体ウエハ研削方法、及び、半導体ウエハ製造方法
CN111515769A (zh) 一种小磨头辅助大气等离子体抛光碳化硅反射镜方法
TW201401352A (zh) 化學機械研磨修整器及其製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170203

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180601

R150 Certificate of patent or registration of utility model

Ref document number: 6348725

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250