CN103990815A - 等离子体加工装置的硬而脆部件的延性模式机加工方法 - Google Patents

等离子体加工装置的硬而脆部件的延性模式机加工方法 Download PDF

Info

Publication number
CN103990815A
CN103990815A CN201410042249.9A CN201410042249A CN103990815A CN 103990815 A CN103990815 A CN 103990815A CN 201410042249 A CN201410042249 A CN 201410042249A CN 103990815 A CN103990815 A CN 103990815A
Authority
CN
China
Prior art keywords
parts
turning
phase
plasma
ductility
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410042249.9A
Other languages
English (en)
Other versions
CN103990815B (zh
Inventor
约翰·F·斯顿夫
蒂莫西·戴尔
大卫·艾伦·鲁伯格
利华·L·黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103990815A publication Critical patent/CN103990815A/zh
Application granted granted Critical
Publication of CN103990815B publication Critical patent/CN103990815B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D1/00Working stone or stone-like materials, e.g. brick, concrete or glass, not provided for elsewhere; Machines, devices, tools therefor
    • B28D1/16Working stone or stone-like materials, e.g. brick, concrete or glass, not provided for elsewhere; Machines, devices, tools therefor by turning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B1/00Methods for turning or working essentially requiring the use of turning-machines; Use of auxiliary equipment in connection with such methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B27/00Tools for turning or boring machines; Tools of a similar kind in general; Accessories therefor
    • B23B27/14Cutting tools of which the bits or tips or cutting inserts are of special material
    • B23B27/18Cutting tools of which the bits or tips or cutting inserts are of special material with cutting bits or tips or cutting inserts rigidly mounted, e.g. by brazing
    • B23B27/20Cutting tools of which the bits or tips or cutting inserts are of special material with cutting bits or tips or cutting inserts rigidly mounted, e.g. by brazing with diamond bits or cutting inserts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B5/00Turning-machines or devices specially adapted for particular work; Accessories specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/0006Working by laser beam, e.g. welding, cutting or boring taking account of the properties of the material involved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P6/00Restoring or reconditioning objects
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B2226/00Materials of tools or workpieces not comprising a metal
    • B23B2226/18Ceramic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P25/00Auxiliary treatment of workpieces, before or during machining operations, to facilitate the action of the tool or the attainment of a desired final condition of the work, e.g. relief of internal stress
    • B23P25/003Auxiliary treatment of workpieces, before or during machining operations, to facilitate the action of the tool or the attainment of a desired final condition of the work, e.g. relief of internal stress immediately preceding a cutting tool
    • B23P25/006Heating the workpiece by laser during machining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T82/00Turning
    • Y10T82/10Process of turning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mining & Mineral Resources (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Turning (AREA)

Abstract

本发明提供了一种等离子体加工装置的硬而脆部件的延性模式机加工方法,即一种等离子体加工装置的部件的延性模式机加工方法,其中所述部件是由非金属的硬而脆材料制成的,其中所述方法包括:使用金刚石切削刀具单点车削所述部件,使得所述非金属的硬而脆材料的一部分在切屑形成期间经历高压相变以形成所述硬而脆材料的延性相部分,其中车削表面是由相变材料形成的并且所述车削表面是所述相变材料的槽纹表面。

Description

等离子体加工装置的硬而脆部件的延性模式机加工方法
技术领域
本发明涉及机加工等离子体加工装置的部件,并且更具体地讲,涉及对用于等离子体加工装置的、由非金属的硬而脆材料形成的部件进行单点车削。
背景技术
在半导体材料加工领域中,例如,包括真空加工室的半导体材料加工装置用于实现多种加工,诸如在衬底上蚀刻和沉积各种材料以及脱胶。随着半导体技术的演化,减小晶体管的大小要求晶片加工和工艺设备具有越来越高的精确度、可重复性和洁净度。存在用于半导体加工的多种设备,包括涉及使用等离子体的应用,诸如等离子体蚀刻、反应离子蚀刻、等离子体增强化学气相沉积(PECVD)和脱胶。这些工艺所需的设备类型包括设置在等离子体室内且必须在这种环境下工作的部件。等离子体室内部的环境可以包括暴露于等离子体、暴露于蚀刻剂气体、暴露于紫外线和热循环。用于这种部件的材料必须适于承受等离子体室内的环境条件,并且如此实现许多晶片的加工,每个晶片的加工过程可能包括多个工艺步骤。为了具有成本效益,这种部件通常必须承受成百上千次晶片周期,同时保持它们的功能和洁净度。对于产生颗粒的部件的容忍度一般极低,即使这些颗粒很少且不大于几十个纳米也不行。被选择在等离子体加工室内部使用的部件还必需以最具成本效益的方式满足这些要求。
为此,形成例如喷头电极的脆性部件经过机械加工操作,以便精车部件的表面。然而,使用机械加工操作完成的表面处理会在脆性部件的表面上造成很小的、几乎不可见的微裂纹或坑洞。这些微裂纹或亚表面损伤不利地影响不同的后续半导体制造过程(例如,半导体层沉积或高温退火),因为所有类型的污染物会累积在所述的微裂纹中,这些污染物在半导体制造过程中会从所述的微裂纹中释放出来,从而污染晶片上的半导体层沉积。例如,具有亚表面损伤的室内部件的表面会由于造成亚表面微裂纹而结合并放出颗粒的表面的腐蚀和/或蚀刻而释放颗粒。后者会导致产品质量大大显著降低或产品报废。
发明内容
本文公开了一种等离子体加工装置的部件的延性模式机加工方法,其中所述部件是由非金属的硬而脆材料制成的。所述方法包括:使用金刚石切削刀具单点车削所述部件,使得所述非金属的硬而脆材料的一部分在切屑形成期间经历高压相变以形成所述硬而脆材料的延性相部分,其中车削表面是由相变材料形成的并且所述车削表面是所述相变材料的槽纹表面。
附图说明
图1图示了可以包括根据本文中公开的延性模式机加工方法形成的非金属的硬而脆材料部件的半导体等离子体加工装置的喷头电极组件。
图2图示了可以包括根据本文中公开的延性模式机加工方法形成的非金属的硬而脆材料部件的半导体等离子体加工装置。
图3A和图3B图示了可以包括根据本文中公开的延性模式机加工方法形成的表面的介电窗和气体喷射器。
图4A图示了在延性模式机加工硬而脆材料部件期间发生的高压相变的示例性模型;图4B图示了硅部件的α菱形晶体结构;图4C图示了硅部件的β-Sn晶体结构;并且图4D图示了对延性模式机加工区域的出现了脆性裂纹的外部进行机加工的实施例。
图5A、图5B图示了在完成本文中公开的延性模式机加工的实施例之前和之后的硅组分。
具体实施方式
本文公开了一种等离子体加工装置的部件的延性模式机加工方法,其中所述部件是由非金属的硬而脆材料制成的。本文中使用的“非金属的硬而脆材料”意指适合用作半导体加工室的部件的陶瓷、含硅(含单晶或多晶硅)材料和/或石英材料,并且更具体地讲,包含石英、硅、碳化硅、氮化硅、氧化铝、氮化铝、碳化硼、氧化钇、氧化锆、金刚石、蓝宝石、玻璃等。在正常条件下,半导体和陶瓷材料硬而脆,并且不容易发生塑性变形。
为了使这些硬而脆材料实现塑性变形(即,延性模式),部件的一部分表面优选地经历高压相变。延性模式机加工方法的这些实施例优选地在单点车削过程期间通过控制诸如相对于部件的一部分的切削深度、进给速率、部件转速和刀具几何形状的参数来利用小尺度的塑韧性响应,其中执行单点车削过程使得非金属的硬而脆材料部件的一部分经历高压相变,从而形成脆性材料的延性相部分。
单点车削过程使用单点金刚石切割刀具,优选为单晶金刚石切割刀具,其中单点车削过程形成非金属的硬而脆材料部件的塑性变形部分。非金属的硬而脆材料部件的延性相部分在单点车削过程中被去除,使得车削表面由相变材料形成,其中车削表面是相变材料的槽纹表面。
车削过程可以从部件去除非金属的硬而脆材料的延性相部分,使得部件的车削表面以延性模式被机加工成预定的形状,其中无定形相的剩余部分可以形成槽纹表面。部件的车削表面的相变材料形成精加工的(finished)表面,其中如果部件是由高纯硅制成的,那么相变材料可以包括α-Si、Si-III、Si-XII或它们的混合物,并且可以包括预定轮廓的表面几何形状和预定的表面粗糙度(Ra)。部件的车削表面的预定表面粗糙度形成车削部件的槽纹表面。优选的是,部件的车削表面所形成的表面粗糙度具有约0.001μm至0.2μm的粗糙度。本文中使用术语“表面粗糙度”表示为表面粗糙度测量结果的算术平均值(Ra)。另外,本文中使用的术语“约”指的是±10%。
优选地,等离子体加工装置的部件的延性模式机加工方法的实施方式会形成具有从高压相变形成的精车削表面(finished turned surface)的部件,其中相变材料形成精车削表面,并且其中形成精车削表面的相变材料具有约0.01μm至2μm的厚度并且更优选地具有约0.01μm至0.5μm的厚度。
等离子体加工装置中适合使用的部件是由陶瓷、含硅的材料和/或石英材料形成的,并且可以包括边缘环、喷头电极、室窗、室内衬、气体喷射器、等离子体约束环和静电夹盘。
图1描绘了平行板电容式耦合等离子体室(真空室)的喷头组件100的二分之一,所述喷头组件包括顶电极103和固定在顶电极103上任选的背衬构件102以及热控板101和顶板111。可以在热控板101的上表面上设置热壅塞112。此外,喷头组件100可以包括等离子体约束环110。顶电极103定位在衬底支撑件160的上方,衬底支撑件160中嵌有静电夹盘。衬底支撑件160被配置为支撑半导体衬底162(例如,半导体晶片),并且边缘环163可以安装在半导体衬底162周围以在加工半导体衬底162期间提高蚀刻均匀性。衬底支撑件160的上表面(其中包括静电夹盘)可以是平表面,其中所述表面优选地是使用本文中所述的延性模式机加工方法形成的。在替代实施例中,衬底支撑件160的上表面可以包括用于供应氮气到衬底背面的凹槽,其中所述上表面优选地是使用本文中所述的延性模式机加工方法形成的。在通过引用的方式全部并入本文中的共同转让的美国专利No.7,869,184中可以找出包括用于供应氮气到衬底背面的凹槽的衬底支撑件的细节。
顶板111可以形成等离子体加工装置(例如等离子体蚀刻室)的可拆除的顶壁。如图所示,顶电极103可以是喷头电极,所述喷头电极包括内部电极构件105和任选的外部电极构件107。内部电极构件105典型地是由单晶硅制成的。如果需要,内部电极105和外部电极107可以是由单件材料制成的,该材料例如CVD碳化硅、单晶硅或例如包含氧化铝等硅基电极材料之类的其他合适材料等。
单晶硅是用于内部电极构件105和外部电极构件107的等离子体暴露表面的优选材料。高纯度的单晶硅由于它仅仅引入了最少量的不希望有的元素到反应室中而使等离子体加工期间衬底的污染最小化,并且还在等离子体加工期间平滑地磨损,从而使颗粒最小化。
喷头电极组件100的大小可以设定以加工大衬底,例如具有300mm的直径的半导体晶片。对于300mm的晶片,顶电极103是至少300mm的直径。然而,喷头电极组件的大小可以设定以加工其他规格晶片或具有非圆形形状的衬底。
图2是等离子体加工室10的替代实施例的截面图,其中等离子体加工室10是电感耦合的。ICP等离子体加工室的实例是由Lam ResearchCorporation(Fremont,California)制造的TCP蚀刻或沉积系统。例如,还在共同转让的美国专利No.6,805,952中描述了ICP等离子体加工室,该专利的全部内容通过引用的方式并入。加工室10包括具有支撑表面14的衬底支撑件12。支撑表面14适于支撑衬底16。真空泵18连接到泵端口20以维持内部加工室10在低压状态(例如在约1毫托至约50毫托之间)。气源22通过气体分配板、喷头装置、喷射器或其他合适的装置供应工艺气体到加工室10的内部。工艺气体可以通过气体分配构件24被引入到与衬底16相邻的区域。
在实施例中,介电窗32位于平板天线26以下并且形成等离子体加工室10的顶壁。介电窗32可以具有延伸贯穿介电窗的通道,其中可以插入气体喷射器并提供工艺气体到等离子体加工室10。在介电窗32与衬底16之间的区域中产生高密度的等离子体31,用于沉积亦或蚀刻衬底16。
图3A示出了包括平行平表面42(可以根据本文中公开延性模式机加工方法加工成平面)、侧面44和通道46的示例性介电窗32。介电窗可以是由石英或陶瓷材料制成的,其中延性模式机加工过程在平行平表面42的至少一个等离子体暴露表面(即,下平行平表面)上形成精加工表面,并且更优选地在两个平行平表面42上都形成精加工表面。优选地,气体喷射器50延伸穿过通孔46。如图3B的剖视图所示,气体喷射器50包括:圆柱形主体40,在其上端具有凸缘43;中心孔45,其延伸穿过上轴向端部;多个气孔47,它们在中心孔与下轴向端部的外部表面之间延伸;以及O形环槽48、51。气体喷射器可以是由诸如陶瓷或石英材料之类的介电材料制成的,其中气体喷射器50包括用于传送工艺气体的孔47,孔47穿过气体喷射器50。在通过引用的方式全部并入本文中的共同转让的美国专利申请No.2010/0041238中可以找出示例性的可调式多区域气体喷射系统的细节,所述气体喷射系统包括双区域气体喷射器以及可以根据本文中公开的方法形成的介电窗。
根据优选实施例,等离子体加工装置的非金属的硬而脆的部件包括通过延性模式机加工过程形成的等离子体暴露表面。本文中公开的延性模式机加工方法可以用于在例如喷头电极、气体分配板、气体喷射器、边缘环或介电窗之类的等离子体加工装置的任意部件上形成波浪形(contoured)几何形状的表面或平表面,其中所述部件是由例如石英、硅、碳化硅、氮化硅、氧化铝、氮化铝、碳化硼、氧化钇、氧化锆、金刚石、蓝宝石、玻璃等非金属的硬而脆材料制成的。例如,波浪形表面的几何形状可以是部件的斜面、倒角或半径的三维表面。可替代地,部件上可以形成平表面,其中延性模式机加工的表面的平表面的平面度变化小于约1.4μm,或者更优选地,平表面的平面度变化小于约0.3μm。
所述方法包括使用金刚石切削刀具对部件进行单点车削,同时控制切削深度,从而使非金属的硬而脆材料的一部分经历高压相变,并且在在切屑形成过程中形成脆性材料的延性相部分和无定形相部分。所述方法进一步包括去除硬而脆材料的无定形部分中的一些,使得部件的表面被车削成预定的形状。优选地,车削表面具有凹槽纹理(槽纹表面),并且具有约0.001μm至0.2μm的预定表面粗糙度,其中车削表面的表面粗糙度使凹槽形成。车削表面的表面粗糙度可以通过例如进给速率、部件旋转速度、切削刀具的几何形状和切削深度等工艺参数来控制,其中,例如,可以选择预定的表面粗糙度,使得车削表面增加非易失性蚀刻副产品和加工残留物的附着力。优选地,非金属的硬而脆材料部件的延性模式机加工表面(当形成时)会没有亚表面损伤,其中部件的槽纹表面是从厚度约0.01μm至2μm,并且更优选地约0.01μm至0.5μm的相变材料形成的,并且其中相变材料形成部件的精车削表面。
优选地,在延性模式机加工过程期间,从非金属的硬而脆材料形成的部件经历高压相变,使得机加工在与脆性模式相反的延性模式中进行,其中延性模式可以减小机加工期间硬而脆材料的脆性裂纹。在极高压力下,诸如在切削刀具与部件之间的接触界面处形成的极高压力下,电介质材料、半导体材料、导电材料、石英材料、含硅材料和陶瓷材料从共价键结构和/或离子键结构转变成高压相变的金属结构。对部件进行单点车削的金刚石切削工具在非金属的硬而脆材料部件上提供压力,使得一部分部件经历相变并且转变成高压金属相部分。
图4A图示了在本文中公开的延性模式机加工方法期间在硅部件400与切削刀具405之间的接触点处的高压相变。高压相变在硅部件的上表面上形成延性相部分401和周围的无定形部分402。在硅的单点车削过程期间,无定形相的表面层在切屑形成过程中被去除,而剩下的相变材料形成硅部件400的车削表面403。在切削刀具与硅部件之间的接触点处发生的高压相变使硅转变成高压延性相。在硅的延性模式机加工期间,硅具有α菱形结构,当压力达到11GPa时,α菱形结构会转变成β-锡,并且当压力被释放时,就形成无定形的Si-III相和Si-XII相。图4C示出了Si的瞬态β-Sn相晶体结构,并且图4B示出了硅的α菱形相晶体结构。
图4D示出了切削过程,其中使用的压力不足,并且如图所示,出现了硅部件400的脆性裂片404。优选地,金刚石切削刀具是刀尖端半径约0.1mm至7mm的单点金刚石切削刀具。优选地,单点的单点加工室切削刀具是单晶天然金刚石,其中刀尖端半径由金刚石的晶体结构确定。可替代地,单点金刚石切削刀具可以是合成金刚石。由于金刚石切削刀具的锐度,通过使金刚石切削刀具在车削过程期间与非金属的硬而脆材料部件接触,在金刚石切削刀具与部件之间的接触点处发生高压延性相变。
优选地,机加工是通过金刚石切削刀具利用单点车削工艺完成的。金刚石切削刀具可以是包括单晶天然金刚石切削刀具或可替代地合成金刚石切削刀具的车床或高速切削机器。在非金属的硬而脆材料部件旋转的同时,金刚石切削刀具可以固定。优选地,硬而脆材料部件以约500转每分钟至1500转每分钟的速度旋转,并且更优选地,所述部件以约500转每分钟至1000转每分钟的速度旋转。单点车削工艺被配置为将由硬而脆材料形成的部件的表面机加工成平表面或波浪形几何形状。在又一个实施例中,安装旋转磨削切削刀具的车床用于第一机加工(即,磨削),或者将硬而脆材料部件粗车成预定的形状。然后在下一个步骤中,磨削切削刀具在车床上旋转,并且金刚石切削刀具旋转到车床上。然后车床可以执行本文中公开的延性模式机加工方法,使得单个机加工可以在不必移除或转移硬而脆材料部件的情况下执行初始成形步骤(即,磨削)以及精车步骤(即,单点车削)。
切削刀具可以用激光来协助,其中用激光辐射照射部件的高压转变部分和周围部分。激光辐射优选地被高压相变状态下的部件的部分表面吸收,从而加热部件的高压相变部分并使其硬度减小。由于高压相变部分吸收激光辐射,而部件的剩余部分传递激光辐射,所以高压相变部分选择性地加热并软化,而部件的剩余部分受到的影响较小,使得选择性地加热的部分可以更容易地转变成高压相(例如,无定形相部分和/或延性相部分)。优选地,激光辐射具有约400纳米至1500纳米的波长。
进给速率是影响延性模式机加工工艺的因子,从而诸如减小和/或控制正被机加工的部件的表面的表面粗糙度。表面精加工的质量可以通过控制切削刀具与部件之间的进给速率来实现。优选地,进给速率约为0.1μm/转至50μm/转,并且更优选地,进给速率约为0.2μm/转至3μm/转,其中更大的进给速率可以增大表面粗糙度。在部件的延性模式机加工期间,可以增大和/或减小进给速率使得可以增大或减小部件的表面粗糙度。还可以根据切削刀具的切削深度来控制非金属的硬而脆材料部件的表面的表面粗糙度,其中切削深度越大会使表面粗糙度越大。优选地,切削深度约为0.25μm至50μm。在部件的延性模式机加工期间,可以增大和/或减小切削深度使得可以增大或减小部件的表面粗糙度。
随着切削深度和进给速率减小,切削力也减小。切削力可以是表面粗糙度的函数,其中更大切削力是缘于更粗糙的表面,其中更大的切削力会导致更短的切削刀具寿命。因此,在机加工期间减小切削深度和进给速率可以提供具有更小的表面粗糙度的部件表面,并且可以通过减小机加工期间施加的切削力来延长切削刀具寿命。
单点车削非金属的硬而脆材料部件形成了槽纹表面,其中车削表面的纹理优选地是螺旋图案。槽纹表面的螺旋图案可以是由内螺旋图案、外螺旋图案或(如果需要)内螺旋图案和外螺旋图案的组合形成的。槽纹表面另外显现出光衍射图案。优选地,光衍射图案是光栅形式,其中光栅是由螺旋图案中的凹槽形成的。螺旋图案中凹槽的尺寸取决于切削刀具的轮廓、进给速率、部件的旋转速度和切削深度,其中螺旋图案的凹槽的尺寸可以根据部件的表面几何形状的变化而变化。
可以通过肉眼观察、散射测量法、干涉测量法或显微镜测量数据来收集并图示延性模式机加工的部件表面的缺陷和质量控制信息。例如槽图案中的不均匀的表面粗糙度和/或裸露的亚表面损伤的不规则部分在散射测量图案中可以显示为亮点亦或暗点。例如,部件上没有凹槽的区域(即,部件上没有经过延性模式机加工的区域)会主要按镜面方式散射光,当从入射角约等于反射角的角度观察时,散射的光会显得明亮。可以使用波长约为630nm的激光来收集散射测量数据。优选地,激光以相对于部件的法线成约30度至45度的角度入射,并且在固定的半径范围内收集散射数据,同时零件绕着其中心线旋转。优选,延性模式机加工的部件在旋转时会显现出不同的散射图案。
图5A图示了在经过延性模式机加工之前的硅部件600。图5B图示了在进行了本文中公开的延性模式机加工的实施例之后的硅部件600。如图5B所示,硅部件600包括光栅形式的光衍射图案,其中光栅是由单点车削过程所形成的螺旋图案中的凹槽形成的。
本文中进一步公开了一种替换等离子体加工装置包括的部件的方法。所述方法包括:当等离子体加工装置中已用的部件被腐蚀时,从等离子体加工装置移除该已用的部件,例如喷头电极;并且使用根据本文中公开的方法形成的部件来替换该已用的部件。此外,当例如喷头电极之类的脆性材料部件被腐蚀时,可以从等离子体加工装置移除该部件,并且用翻新的部件来替换,其中所述翻新的部件是根据本文中公开的方法形成的。
另外,本文中公开了一种蚀刻等离子体加工装置中的半导体衬底的方法。所述方法包括:将根据本文中公开的延性模式机加工的实施例形成的部件安装到等离子体加工装置的等离子体室中;并且在等离子体室中等离子体蚀刻至少一个半导体衬底。
本领域的普通技术人员应理解的是,本发明在不脱离其精神和本质特征的情况下可以以其他具体形式实施。因此,当前公开的实施例在所有方面被认为是说明性的,而不是限制性的。本发明的范围由所附权利要求书限定,而不是由前述描述限定,并且涵盖在本发明的等同形式的含义和范围内的所有变化旨在包含在本发明中。

Claims (20)

1.一种等离子体加工装置的部件的延性模式机加工方法,其中所述部件是由非金属的硬而脆材料制成的,所述方法包括:
使用金刚石切削刀具单点车削所述部件,使得所述非金属的硬而脆材料的一部分在切屑形成期间经历高压相变以形成所述硬而脆材料的延性相部分,其中车削表面是由相变材料形成的并且所述车削表面是所述相变材料的槽纹表面。
2.如权利要求1所述的方法,其中(a)所述部件的所述车削表面的预定表面粗糙度(Ra)形成所述车削部件的所述槽纹表面;(b)所述车削表面的所述预定表面粗糙度约为0.001μm至0.2μm;(c)所述车削表面的所述槽纹表面具有螺旋图案;(d)所述车削表面的所述槽纹表面显现出光衍射图案;(e)所述车削表面的所述槽纹表面形成精车削表面;并且/或者(f)所述部件是由硅形成的,并且形成所述车削表面的所述相变材料包括无定形硅、Si-III和Si-XII。
3.如权利要求1所述的方法,其中所述槽纹表面没有亚表面损伤。
4.如权利要求1所述的方法,其中所述高压转变部分用激光辐射照射,使得(a)所述激光辐射被延性相材料吸收以加热所述延性相材料并使其硬度减小;并且/或者(b)所述激光辐射具有约400纳米至1500纳米的波长。
5.如权利要求1所述的方法,其中(a)切削深度约为0.25μm至50μm,进给速率约为0.1μm/转至50μm/转,并且所述部件以约500转每分钟至1500转每分钟的速度旋转;(b)所述切削深度约为0.25μm至50μm,所述进给速率为约0.2μm/转至3μm/转,并且所述部件以500转每分钟至1000转每分钟的速度旋转;并且/或者(c)所述切削深度在单点车削所述部件时增大和/或减小;并且/或者(d)所述进给速率在单点车削所述部件时增大和/或减小。
6.如权利要求1所述的方法,其中所述脆性材料是选自由陶瓷、含硅材料和石英材料组成的组。
7.如权利要求1所述的方法,其中所述部件包括边缘环、喷头电极、窗、气体喷射器、等离子体约束环、室内衬或静电夹盘。
8.如权利要求1所述的方法,其中所述部件是等离子体加工装置的介电室的零件。
9.如权利要求1所述的方法,其中(a)所述部件的车削表面具有波浪形表面几何形状;并且/或者(b)所述部件的车削表面形成平表面。
10.如权利要求9所述的方法,其中所述波浪形表面几何形状是斜面、倒角或曲面的三维表面。
11.如权利要求9所述的方法,其中(a)所述平表面的平面度的变化小于约1.4μm;或者(b)所述平表面的平面度的变化小于约0.3μm。
12.如权利要求1所述的方法,其中(a)形成所述延性模式机加工部件的车削表面的所述相变材料具有约0.01μm至2μm的厚度;并且/或者(b)形成所述延性模式机加工部件的车削表面的所述相变材料具有约0.01μm至0.5μm的厚度。
13.如权利要求1所述的方法,进一步包括收集所述车削表面的光学数据并处理所述光学数据使得不规则部分能被检测到。
14.如权利要求13所述的方法,其中(a)所述光学数据通过肉眼观察、散射测量法、显微镜法或干涉测量法来收集;并且/或者(b)检测不规则部分包括检测不均匀表面的粗糙度或裸露的亚表面损伤。
15.如权利要求1所述的方法,进一步包括(a)在延性模式机加工所述部件之前使用安装在车床上的磨削工具将所述硬而脆材料部件磨削或粗车成预定的形状;并且/或者(b)从所述车床上安装的磨削工具旋转到所述金刚石切削刀具,使得所述部件能被金刚石车削成预定的形状。
16.如权利要求1所述的方法,其中执行所述单点车削的所述金刚石切削刀具是(a)单晶天然金刚石切削刀具;或(b)合成金刚石切削刀具。
17.一种替换等离子体加工装置的部件的方法,所述方法包括:当已用的部件被腐蚀时,从所述等离子体加工装置移除所述已用的部件;并且使用如权利要求1所述的方法制成的部件来替换所述已用的部件。
18.如权利要求17所述的方法,其中所述已用的部件被翻新的部件替换,其中所述部件的表面根据如权利要求1所述的方法被翻新。
19.如权利要求17所述的方法,其中所述部件材料是选自由陶瓷、含硅材料和石英材料组成的组。
20.一种蚀刻等离子体加工装置中的半导体衬底的方法,所述方法包括:将根据如权利要求1所述的方法形成的部件安装到等离子体加工装置的等离子体蚀刻室中;并且在所述等离子体室中等离子体蚀刻至少一个半导体衬底。
CN201410042249.9A 2013-02-20 2014-01-28 等离子体加工装置的硬而脆部件的延性模式机加工方法 Active CN103990815B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/771,933 US8893702B2 (en) 2013-02-20 2013-02-20 Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US13/771,933 2013-02-20

Publications (2)

Publication Number Publication Date
CN103990815A true CN103990815A (zh) 2014-08-20
CN103990815B CN103990815B (zh) 2018-09-18

Family

ID=51305289

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410042249.9A Active CN103990815B (zh) 2013-02-20 2014-01-28 等离子体加工装置的硬而脆部件的延性模式机加工方法

Country Status (5)

Country Link
US (1) US8893702B2 (zh)
JP (1) JP6348725B2 (zh)
KR (1) KR102212055B1 (zh)
CN (1) CN103990815B (zh)
TW (1) TWI630999B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105234429A (zh) * 2015-11-10 2016-01-13 天津津航技术物理研究所 一种等刀纹间距恒线速度单点金刚石车削方法
CN110253220A (zh) * 2019-06-19 2019-09-20 中国科学院长春光学精密机械与物理研究所 一种金刚石单点车辅助离子束抛光金属镜的方法
CN115446999A (zh) * 2022-09-27 2022-12-09 河北同光半导体股份有限公司 一种改善碳化硅衬底局部轮廓质量的方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6091474B2 (ja) * 2014-09-26 2017-03-08 ジヤトコ株式会社 ワークの表面加工方法
US10662520B2 (en) 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
TW202105504A (zh) * 2019-02-06 2021-02-01 美商蘭姆研究公司 具有紋理的矽半導體處理腔室元件
CN110952144B (zh) * 2019-12-11 2020-10-09 山东大学 一种基于机械加工方式制备纤锌矿型硅晶体的方法
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
JP7454983B2 (ja) 2020-03-30 2024-03-25 東京エレクトロン株式会社 エッジリング及びプラズマ処理装置
CN115954257B (zh) * 2023-03-14 2023-05-23 长鑫存储技术有限公司 衬底处理装置、气体约束组件及其调节方法、调节装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4356376A (en) * 1981-05-13 1982-10-26 General Electric Company Pulse laser pretreated machining
US20040113299A1 (en) * 2002-11-29 2004-06-17 Konica Minolta Holdings, Inc. Processing method of forming a transferring surface, processing machine, die for an optical element and a diamond tool
US20050133947A1 (en) * 2002-02-14 2005-06-23 Ki-Hong Jang Grip for makeup brush and method of manufacturing the same
US20070062920A1 (en) * 2005-09-07 2007-03-22 Shin Yung C Laser assisted machining process with distributed lasers
CN101137461A (zh) * 2004-12-23 2008-03-05 兰姆研究公司 用于硅电极部件蚀刻速度和蚀刻均匀性恢复的方法
CN100404450C (zh) * 2003-05-30 2008-07-23 兰姆研究公司 精加工石英玻璃表面的方法和所述方法生产的部件

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JPH06177076A (ja) 1992-12-02 1994-06-24 Nisshinbo Ind Inc プラズマエッチング用電極
US5684293A (en) 1995-11-29 1997-11-04 Eastman Kodak Company Anti-aliasing low-pass blur filter for reducing artifacts in imaging apparatus
JPH09239639A (ja) 1996-03-04 1997-09-16 Jiro Otsuka Aeを用いた延性モード加工装置
DE69704227T2 (de) 1996-04-22 2001-08-30 Nisshinbo Industries, Inc. Verfahren zur Herstellung von Formkörpern aus Siliciumcarbid
JP3454333B2 (ja) 1996-04-22 2003-10-06 日清紡績株式会社 プラズマエッチング電極
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
AU6037698A (en) 1997-01-22 1998-08-07 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
JP3023428B2 (ja) * 1997-05-08 2000-03-21 重臣 越水 Fl等を用いた硬脆材料の加工モード判別装置
US6858080B2 (en) 1998-05-15 2005-02-22 Apollo Diamond, Inc. Tunable CVD diamond structures
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000306886A (ja) * 1999-04-19 2000-11-02 Hitachi Chem Co Ltd プラズマエッチング電極
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
DE19928949A1 (de) * 1999-06-24 2001-01-04 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6418921B1 (en) 2001-01-24 2002-07-16 Crystal Systems, Inc. Method and apparatus for cutting workpieces
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6443817B1 (en) 2001-02-06 2002-09-03 Mccarter Technology, Inc. Method of finishing a silicon part
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6916503B2 (en) 2001-09-06 2005-07-12 Konica Corporation Base material to be coated, coating apparatus, coating method and element producing method
US6860795B2 (en) 2001-09-17 2005-03-01 Hitachi Global Storage Technologies Netherlands B.V. Edge finishing process for glass or ceramic disks used in disk drive data storage devices
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP3868341B2 (ja) 2002-04-22 2007-01-17 日清紡績株式会社 耐熱性に優れたプラズマエッチング電極及びそれを装着したドライエッチング装置
TW580416B (en) 2002-11-28 2004-03-21 Ind Tech Res Inst Laser-assisted machining process
US9220576B2 (en) 2003-08-07 2015-12-29 Ivoclar Vivadent Ag Machining of ceramic materials
JP2005082414A (ja) * 2003-09-04 2005-03-31 Tokyo Metropolis セラミック材の切削方法及び切削装置
US20060108325A1 (en) 2004-11-19 2006-05-25 Everson William J Polishing process for producing damage free surfaces on semi-insulating silicon carbide wafers
JP2007181882A (ja) * 2004-12-06 2007-07-19 Konica Minolta Opto Inc 転写光学面の加工方法、光学素子用成形金型及び光学素子
US8656815B2 (en) 2004-12-06 2014-02-25 Konica Minolta Opto, Inc. Transfer optical surface machining method, optical device producing mold and optical device
US7507670B2 (en) 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
EP1876639A1 (en) 2005-04-18 2008-01-09 Tokyo Electron Limited (TEL) Shower plate and method for manufacturing the same
US7508116B2 (en) 2005-09-07 2009-03-24 Panasonic Corporation Method and apparatus for vibration machining with two independent axes
US7184657B1 (en) 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US8933366B2 (en) 2005-09-28 2015-01-13 Western Michigan University Research Foundation Micro laser assisted machining
US20070108161A1 (en) 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US7662723B2 (en) 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US20070284339A1 (en) 2006-06-09 2007-12-13 Moore David O Plasma etching chamber parts made with EDM
TWI432592B (zh) 2007-04-27 2014-04-01 Honeywell Int Inc 具有降低預燒時間之濺鍍靶,其製造方法及其用途
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
ES2392249T3 (es) 2007-06-22 2012-12-07 Hitachi Tool Engineering, Ltd. Broca de diámetro pequeño para agujeros profundos y método de tratamiento de agujeros profundos y finos
KR101384585B1 (ko) 2007-09-06 2014-04-11 가부시키가이샤 크리에이티브 테크놀러지 정전 척 장치에서의 가스공급구조의 제조방법 및 정전 척 장치 가스공급구조 및 정전 척 장치
CN101809717B (zh) 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
JP5138352B2 (ja) * 2007-12-17 2013-02-06 東芝機械株式会社 加工方法および加工装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP2010115741A (ja) 2008-11-12 2010-05-27 Toshiba Mach Co Ltd 高硬度材料の切削加工方法および切削加工機械
WO2010125881A1 (ja) 2009-04-27 2010-11-04 京セラ株式会社 ドリル及びこのドリルを用いる被削材の切削方法
CN202855717U (zh) 2009-09-10 2013-04-03 朗姆研究公司 等离子体反应室的可替换上室部件
US20110265616A1 (en) 2010-04-30 2011-11-03 University Of Pittsburgh-Of The Commonwealth System Of Higher Education Ultra-pure, single-crystal sic cutting tool for ultra-precision machining

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4356376A (en) * 1981-05-13 1982-10-26 General Electric Company Pulse laser pretreated machining
US20050133947A1 (en) * 2002-02-14 2005-06-23 Ki-Hong Jang Grip for makeup brush and method of manufacturing the same
US20040113299A1 (en) * 2002-11-29 2004-06-17 Konica Minolta Holdings, Inc. Processing method of forming a transferring surface, processing machine, die for an optical element and a diamond tool
CN100404450C (zh) * 2003-05-30 2008-07-23 兰姆研究公司 精加工石英玻璃表面的方法和所述方法生产的部件
CN101137461A (zh) * 2004-12-23 2008-03-05 兰姆研究公司 用于硅电极部件蚀刻速度和蚀刻均匀性恢复的方法
US20070062920A1 (en) * 2005-09-07 2007-03-22 Shin Yung C Laser assisted machining process with distributed lasers
US20120024827A1 (en) * 2005-09-07 2012-02-02 Yung Shin Laser assisted machining apparatus with distributed lasers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105234429A (zh) * 2015-11-10 2016-01-13 天津津航技术物理研究所 一种等刀纹间距恒线速度单点金刚石车削方法
CN110253220A (zh) * 2019-06-19 2019-09-20 中国科学院长春光学精密机械与物理研究所 一种金刚石单点车辅助离子束抛光金属镜的方法
CN115446999A (zh) * 2022-09-27 2022-12-09 河北同光半导体股份有限公司 一种改善碳化硅衬底局部轮廓质量的方法

Also Published As

Publication number Publication date
US20140235061A1 (en) 2014-08-21
JP6348725B2 (ja) 2018-06-27
CN103990815B (zh) 2018-09-18
US8893702B2 (en) 2014-11-25
TW201501896A (zh) 2015-01-16
TWI630999B (zh) 2018-08-01
KR102212055B1 (ko) 2021-02-04
JP2014160817A (ja) 2014-09-04
KR20140104396A (ko) 2014-08-28

Similar Documents

Publication Publication Date Title
CN103990815A (zh) 等离子体加工装置的硬而脆部件的延性模式机加工方法
CN103963172B (zh) 用于等离子体加工装置的脆性部件的延性模式钻孔方法
JP2014160817A5 (zh)
TWI601637B (zh) 半導體應用上之稀土氧化物系抗腐蝕塗層
Gäbler et al. Precision and micro CVD diamond-coated grinding tools
CN100462199C (zh) 常压等离子体抛光方法
US11724353B2 (en) Chamber components with polished internal apertures
JP2016539250A (ja) プラズマ火炎熱処理を用いたプラズマ溶射コーティングの強化
US20130157067A1 (en) Plasma-resistant member and method for regenerating same
Oliaei et al. Polycrystalline diamond end mill cutting edge design to improve ductile-mode machining of silicon
TW201334020A (zh) 具備有刻紋的抗電漿塗層之電漿處理室的元件
CN109333385A (zh) 一种带有微结构的金刚石砂轮及其制备方法
KR20140107136A (ko) 스크라이빙 휠, 홀더 유닛, 스크라이브 장치 및 스크라이빙 휠의 제조 방법
CN111842940A (zh) 一种基于复合切削的超精密加工方法及超精密加工装置
US20200172780A1 (en) Abrasive articles including conformable coatings and polishing system therefrom
Suzuki et al. Precision cutting of ceramics with milling tool of single crystalline diamond
US10604836B2 (en) Methods for surface preparation of sputtering target
Gäbler et al. Precision Grinding with CVD diamond coated grinding tools
Lin et al. Effect of various assisted machining technique applications on cutting performance of quartz glass milling
Sun et al. Residual stress measurement of the ground wafer by stepwise corrosion and Raman Spectroscopy
CN111515769A (zh) 一种小磨头辅助大气等离子体抛光碳化硅反射镜方法
CN117325082A (zh) 抛光垫的整体型金刚石修整器的制造方法
Yamamura et al. High-spatial-resolution machining utilizing atmospheric pressure plasma: Machining characteristics of silicon
Lin et al. Improvement in the ground surface roughness of fused silica X-ray mirror with ELID-grinding
Miletic et al. Precision Grinding with CVD Diamond Coated Dicing Blades

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant