JP6268091B2 - 流量コントローラのインシトゥ較正の方法 - Google Patents

流量コントローラのインシトゥ較正の方法 Download PDF

Info

Publication number
JP6268091B2
JP6268091B2 JP2014533370A JP2014533370A JP6268091B2 JP 6268091 B2 JP6268091 B2 JP 6268091B2 JP 2014533370 A JP2014533370 A JP 2014533370A JP 2014533370 A JP2014533370 A JP 2014533370A JP 6268091 B2 JP6268091 B2 JP 6268091B2
Authority
JP
Japan
Prior art keywords
gas
controller
value
relationship
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014533370A
Other languages
English (en)
Other versions
JP2015503134A5 (ja
JP2015503134A (ja
Inventor
バラレイブ エヌ. モハメド,
バラレイブ エヌ. モハメド,
ジョン ダブリュ. レイン,
ジョン ダブリュ. レイン,
マリウス ジェイ. グレーゴール,
マリウス ジェイ. グレーゴール,
ダン ジョセフ ヒーリー,
ダン ジョセフ ヒーリー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015503134A publication Critical patent/JP2015503134A/ja
Publication of JP2015503134A5 publication Critical patent/JP2015503134A5/ja
Application granted granted Critical
Publication of JP6268091B2 publication Critical patent/JP6268091B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/15Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters specially adapted for gas meters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01FMEASURING VOLUME, VOLUME FLOW, MASS FLOW OR LIQUID LEVEL; METERING BY VOLUME
    • G01F25/00Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume
    • G01F25/10Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters
    • G01F25/13Testing or calibration of apparatus for measuring volume, volume flow or liquid level or for metering by volume of flowmeters using a reference counter
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0623Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the set value given to the control element
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86485Line condition change responsive release of valve

Description

本発明の実施形態は、一般に、基板処理のための方法および装置に関し、具体的には、流量コントローラのインシトゥ較正のための方法および装置に関する。
流量コントローラは、プロセスガスをプロセスチャンバの処理容積部に送出するのに使用することができる。流量コントローラは、一般に、プロセスチャンバへの設置の前に標準ガスを使用して製造業者によって較正される。発明者等は、流量コントローラのインシトゥ較正のための改善された方法を提供する。
流量コントローラのインシトゥ較正のための方法および装置が本明細書で提供される。いくつかの実施形態では、プロセスチャンバに結合された流量コントローラのインシトゥ較正の方法は、第1のガスと異なる標準ガスを使用することによって決定された、計算された第1の関係に基づいて設定点の第1の値に設定されるときに、流量の第1の値で第1のガスを供給するように構成された流量コントローラを供給することと、第1のガスに対する流量と設定点との間の実際の第1の関係を、流量コントローラの設定点の対応する複数の値で決定された第1のガスの流量の複数の値から決定することであり、流量の複数の値の各々が、設定点に対する複数の値の対応する値で第1のガスを流量コントローラを通して流すことから決定される、実際の第1の関係を決定することと、実際の第1の関係に基づいて流量コントローラから流量の第1の値で第1のガスを流すこととを含むことができる。
いくつかの実施形態では、基板を処理するための装置は、処理容積部を有するプロセスチャンバと、処理容積部に第1のガスを供給するためにプロセスチャンバに結合された第1の流量コントローラであり、第1のガスと異なる標準ガスを使用することによって決定された、計算された第1の関係に基づいて設定点の第1の値に設定されるときに、流量の第1の値で第1のガスを供給するように構成される、第1の流量コントローラと、プロセスチャンバに結合されたコントローラであり、コントローラが、コントローラによって実行されるときガスを処理容積部に流す第1の方法が行われるようにする命令を記憶しているコンピュータ可読媒体をさらに含み、この方法が、第1のガスに対する流量と設定点との間の実際の第1の関係を、第1の流量コントローラの設定点の対応する複数の値で決定された第1のガスの流量の複数の値から決定することであり、流量の複数の値の各々が、設定点に対する複数の値の対応する値で第1のガスを第1の流量コントローラを通して流すことから決定される、実際の第1の関係を決定することと、実際の第1の関係に基づいて第1の流量コントローラから流量の第1の値で第1のガスを流すこととを含む、コントローラとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、第1の時間に流量コントローラの第1のゼロオフセットをモニタすることと、第1の時間の後の第2の時間に流量コントローラの第2のゼロオフセットをモニタすることと、累積ゼロドリフトが流量コントローラの全流量範囲の約10パーセントを超える場合に整備警告を発することであり、累積ゼロドリフトが第1のゼロオフセットと第2のゼロオフセットとの合計である、整備警告を発することとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、流量コントローラが動作中である第1の時間をモニタすることと、流量コントローラが動作中である第2の時間をモニタすることと、累積動作寿命が第1の臨界値を超える場合に整備警告を発することであり、累積動作寿命が第1の時間と第2の時間との合計である、整備警告を発することとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、第1の期間にわたり第1の間隔で流量コントローラの温度値をサンプリングすることと、サンプリングされた温度値から計算された標準偏差が臨界値だけ流量コントローラの設定温度値を超えている場合に整備警告を発することとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、流量コントローラの位置コントローラから調節バルブへの出力信号の値を第1のサンプリング速度でサンプリングすることと、サンプリングされた出力信号値から計算された標準偏差が臨界値だけ出力信号の定常状態設定点を超えている場合に整備警告を発することとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、第1の時間に流量コントローラの第1の流量で流量コントローラの第1のパラメータまたはプロセスチャンバの第2のパラメータの少なくとも一方の第1の値をモニタすることと、第1の時間の後の第2の時間に流量コントローラの第1の流量で流量コントローラの第1のパラメータまたはプロセスチャンバの第2のパラメータの少なくとも一方の第2の値をモニタすることと、第1の値と第2の値との比較から流量コントローラまたはプロセスチャンバの構成要素の少なくとも一方の状態を決定することとを含むことができる。
いくつかの実施形態では、プロセスチャンバに結合された流量コントローラをモニタする方法は、流量コントローラの第1の流量で流量コントローラの第1のパラメータまたはプロセスチャンバの第2のパラメータの少なくとも一方の複数の値を対応する複数の時間にわたりモニタすることと、複数の値の2つ以上の比較から流量コントローラまたはプロセスチャンバの構成要素の少なくとも一方の状態を決定することと、比較に基づいて流量コントローラの第1のパラメータまたはプロセスチャンバの第2のパラメータの少なくとも一方を新しい値に設定することとを含むことができる。
本発明の他のおよびさらなる実施形態が以下で説明される。
上述で簡単に要約し、以下でさらに詳細に説明する本発明の実施形態は、添付図面に表した本発明の例示的な実施形態を参照することによって理解することができる。しかし、添付図面は、本発明の典型的な実施形態のみを示しており、それ故に、本発明は他の同等に効果的な実施形態を認めることができるので本発明の範囲を限定すると考えるべきでないことに留意されたい。
本発明のいくつかの実施形態による基板処理システムを表す図である。 本発明のいくつかの実施形態による、プロセスチャンバに結合された流量コントローラのインシトゥ較正のための方法の流れ図である。
理解を容易にするために、図に共通である同一の要素を指定するのに、可能であれば、同一の参照番号が使用されている。図は原寸に比例して描かれておらず、明確にするために簡単化されることがある。ある実施形態の要素および特徴は、有利には、さらなる詳説なしに他の実施形態に組み込むことができると考えられる。
基板処理のための方法および装置が本明細書で開示される。本発明の方法および装置は、有利には、各流量コントローラのツール較正のときの流量精度、較正アルゴリズムへの遠隔更新機能、および流量コントローラのヘルスモニタリングを維持しながら、より広い流量範囲を流量コントローラに与えることができる。本発明の方法および装置は、さらに、有利には、例えば遠隔更新を使用することによってツールの停止時間を制限し、流量コントローラのヘルスモニタリングを使用することによって装置エラーに起因するコストを低減することができる。本発明の方法および装置の他のおよびさらなる利点が以下で説明される。
図1は、本発明のいくつかの実施形態による基板処理システム100を表す。基板処理システム100は、処理容積部104を有するプロセスチャンバ102を含むことができる。基板支持体106を処理容積部104に配置して、基板処理システム100中で処理する間基板108を支持することができる。プロセスチャンバ102は、1つの基板および/または多数の基板を同時に処理するための任意の好適なプロセスチャンバとすることができる。例えば、プロセスチャンバ102は、化学気相堆積(CVD)、原子層堆積(ALD)、物理的気相堆積(PVD)、金属化学気相堆積(MCVD)、ブランケットディープサブミクロン化学気相堆積(ブランケットDSM−CVD)、またはエッチング、堆積、洗浄などのような任意の好適なプラズマまたは非プラズマ使用可能または強化プロセスのうちの1つまたは複数のために構成することができる。基板支持体106は、プロセスチャンバ102の任意の好適な構成で使用するための任意の好適な基板支持体とすることができる。基板支持体106は、サセプタ、真空チャック、静電チャックなどのうちの1つまたは複数を含むことができ、ヒータ、RF電極、リフトピンアセンブリなどのうちの1つまたは複数などの構成要素114を含むことができる。
システム100は、処理容積部104に1つまたは複数のプロセスパラメータを設定するために1つまたは複数の処理源を含むことができる。例えば、プロセスパラメータは、RF電力の大きさ、プロセスガスの流量、チャンバ構成要素の温度、チャンバ圧力、フォアライン圧力、サセプタ裏側圧力、プロセスガスタイプ、プロセスガス温度、サセプタ温度、サセプタ位置、基板ヒータ電力レベル、排気バルブヒータ電力レベルなどを含むことができる。例えば、1つまたは複数のプロセスパラメータは、1つまたは複数の流量デバイス(flow device)、1つまたは複数の高周波(RF)電源、基板ヒータ、排気バルブヒータなどのような処理源で設定することができる。
例えば、1つまたは複数の流量デバイス110は、1つまたは複数のプロセスガスを処理容積部104に供給するためにガス注入口116に結合することができる。ガス注入口116は、1つまたは複数のプロセスガスを処理容積部104に所望の方法(基板108の上の処理容積部104の領域に入る、基板108の方に誘導される、基板108の表面の端から端まで誘導されるなどの)で供給するための任意の好適な1つまたは複数の注入口とすることができる。例えば、ガス注入口116は、シャワーヘッド(図示のような)、ガス噴射器、ノズルなどのうちの1つまたは複数とすることができる。ガス注入口116は、図1では基板支持体106より上に配置されているように示されているが、交互にまたは組み合わせて、プロセスチャンバ102の側壁もしくは底部に、またはプロセスチャンバ内に(例えば、基板支持体106に近接して)配置することができる。1つまたは複数の流量デバイス110の各々は、複数のガス源118の1つまたは複数に結合することができる。例えば、複数のガス源118はガスパネルなどの一部とすることができ、各流量デバイス110は、対応するガス源118からガス注入口116へのプロセスガスの流れを制御する。
処理容積部104に流れるプロセスガスまたは何か他の1つまたは複数のガスからプラズマを形成するために処理容積部104などの処理システム100の様々な部分に、または基板支持体106に、または同様のものにRF電力を供給するのに1つまたは複数のRF電源を使用することができる。例えば、第1のRF電源112Aおよび第2のRF電源112Bが図1では表されている。第1および第2のRF電源112A、112Bは本明細書ではまとめて1つまたは複数のRF電源112、またはRF電源112と呼ぶ。各RF電源は、一般に、RFジェネレータと、RFジェネレータおよびプラズマのインピーダンスを整合させるのに使用される整合回路とを含む。1つまたは複数のRF電源は、基板処理システム100の様々な要素に結合することができる。
第1のRF電源112Aは、1つまたは複数のプロセスガスからプラズマを形成しやすくするために利用することができる。いくつかの実施形態では、第1のRF電源112Aは、プロセスチャンバ102のリッドまたは天井に隣接して配置することができる。例えば、第1のRF電源112Aは、プラズマを形成するためにプロセスチャンバ102内の1つまたは複数のプロセスガスにRFエネルギーを結合するように構成することができる。いくつかの実施形態では、第1のRF電源112Aは、例えば、破線113で示すように、プロセスチャンバ102の天井の上に配置された1つまたは複数の誘導コイル111などの電極に結合することができる。代替としてまたは組み合わせて、第1のRF電源112Aは、破線115で示すように、ガス注入口116の導電性部分などの、プロセスチャンバの天井にまたは天井の近くに配置された電極に結合することができる。第1のRF電源112Aは、同様にまたは代替として、所望の場所にRFエネルギーを供給するために他の好適な構成要素に結合することができる。単一のRF源(例えば112A)が天井の近くでプロセスチャンバ102に結合されるように示されているが、多数のRF電源を同じ電極の天井にまたは異なる電極に結合することができる。
第2のRF電源112Bは、例えば、処理の間、基板バイアス制御を行うために基板支持体106に結合することができる。上述と同様に、単一のRF源が基板支持体106に結合されるように示されているが、多数のRF電源を同じ電極の基板支持体106にまたは異なる電極に結合することができる。加えてまたは代替として、他のRF電源112を、プロセスチャンバの側壁に、もしくは側壁の近くに配置された、または他の所望の場所に配置された電極(図示せず)などのプロセスチャンバの他の構成要素に結合して、プロセスチャンバに、あるいはプロセスチャンバ102に配置されたかまたはプロセスチャンバ102に流れるガスにRFエネルギーを結合することができる。
1つまたは複数の流量デバイス110の各々は、質量流量コントローラなどのような質量流量デバイスとすることができる。例えば、図1に示すように、1つまたは複数の流量デバイス110は第1の流量コントローラ110Aと第2の流量コントローラ110Bとを含むことができる。第1の流量コントローラ110Aは、第1のガス源118Aから処理容積部104に第1のガスを供給するためにプロセスチャンバ102に結合することができる。第2の流量コントローラ110Bは、第2のガス源118Bから処理容積部104に第2のガスを供給するためにプロセスチャンバ102に結合することができる。
1つまたは複数の流量デバイス110の各々は、位置コントローラ124と連通するセンサ120および調節バルブ122を含み、センサ、調節バルブ、および位置コントローラの間で局所閉ループ制御を行うことができる。位置コントローラ124は、図1に示すようにシステムコントローラ141と命令の送受を行うことができる。例えば、第1の流量コントローラ110Aは、第1の流量コントローラ110Aのセンサ120および調節バルブ122に結合された第1の位置コントローラ124Aを含む。例えば、第2の流量コントローラ110Bは、第2の流量コントローラ110Bのセンサ120および調節バルブ122に結合された第2の位置コントローラ124Bを含む。センサ120は、圧力センサまたは温度センサの1つまたは複数を含むことができる。各位置コントローラ124A、124Bは、それぞれの第1および第2の流量コントローラ110A、110Bの構成要素の各々を制御するためのコントローラとすることができる。例えば、動作中に、センサ120は、第1のガスの圧力または温度の1つまたは複数を示す信号をコントローラ124Aに与えて第1のガスの流量を決定することができ、コントローラ124Aは、調節バルブ122を調節して、所望の流量を維持することができる。例えば、各位置コントローラ124A、124Bは、方法を記憶および/または実行し、かつ/またはシステムコントローラ141または別の情報源からの遠隔更新を受け取るために、コンピュータ処理ユニット(CPU)、メモリ、支援回路などを含むことができる。
図1に示した1つまたは複数の流量デバイス110は単に例示であり、位置コントローラ124などの内蔵位置コントローラがない場合(図示せず)、センサ120および調節バルブ122がシステムコントローラ141などのシステムコントローラと直接連通するなどの他の実施形態が可能である。
システム100は、処理容積部104と排気システム130の排気容積部128との間に配置された排気バルブ126をさらに含むことができる。排気バルブ126は、ゲートバルブ、スロットルバルブ、バタフライバルブ、ペンデュラムバルブなどのような基板処理システムで使用される任意の好適なバルブとすることができる。排気バルブ126は、排気バルブ126の位置を制御するために電動駆動部132に結合される。例えば、排気バルブ126の位置変化により、排気容積部128などのような低い圧力領域にさらされることがより多くまたはより少なくなりうる。低い圧力領域は、排気領域128または排気システム130に結合された任意の好適な真空ポンプまたは同様のポンプデバイス(図示せず)によって生成されうる。
処理容積部104の圧力は1つまたは複数の圧力計でモニタすることができる。例えば、第1の圧力計134を使用して、処理容積部104の第1の範囲の圧力を測定することができる。いくつかの実施形態では、第1の範囲の圧力は約1Torrから約10Torrとすることができる。第2の圧力計136を使用して、処理容積部の第2の範囲の圧力を測定することができる。第2の範囲の圧力は第1の範囲の圧力と異なることができ、例えば、第1または第2の圧力計の一方は高圧圧力計とすることができ、他方は低圧圧力計とすることができる。いくつかの実施形態では、第2の範囲の圧力は約10Torrから約500Torrとすることができる。第1および第2の圧力計134、136は、例えば、イオンゲージ、熱電対ゲージ、キャパシタンスゲージ、歪みゲージ、ピラニゲージなどのような、所望の圧力範囲を測定するための任意の好適な圧力計とすることができる。所望であれば、異なる圧力範囲をモニタするための追加の圧力計を備えることもできる。特定の圧力範囲に調整された多数の圧力計を備えると、有利には、単一の圧力計の使用と比較して広範囲の圧力にわたる処理システムのより正確な制御がしやすくなる。例えば、圧力計は、排気容積部128、サセプタの裏側などをモニタするために備えることができる。
第1および第2の圧力計134、136は、図1に示すようにコントローラ141に直接結合することができる。同様に、電動駆動部132は、コントローラ141に直接結合され、コントローラ141によって制御されうる。図1に示すような圧力計134、136および電動駆動部132の構成は1つの例示的な実施形態であり、システムコントローラ141は、圧力計134、136によりモニタされる処理容積部104の圧力に応答して排気バルブの位置を変化させるように電動駆動部132を制御するために、圧力コントローラ(図示せず)として働き、かつ/または圧力コントローラを含むことができる。代替として、別個の圧力コントローラ(図示せず)を使用することができ、圧力計134、136は、コントローラ141の代わりに別個の圧力コントローラに結合することができ、別個の圧力コントローラは電動駆動部132を制御する。
コントローラ141は、中央処理装置(CPU)138、メモリ140、およびCPU138の支援回路142を含み、システム100の構成要素の制御、したがって、以下で説明する方法200などのシステムを制御する方法の制御を容易にする。コントローラ141は、様々なチャンバおよびサブプロセッサを制御するために産業環境で使用することができる汎用コンピュータプロセッサの任意の形態のうちの1つとすることができる。CPU138のメモリまたはコンピュータ可読媒体140は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルもしくはリモートのデジタルストレージなどの容易に利用可能なメモリのうちの1つまたは複数とすることができる。支援回路142は、従来の方法でプロセッサをサポートするためにCPU138に結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路、およびサブシステムなどを含む。コントローラ141は、流量デバイス、電動駆動部、RF電源、基板ヒータ、排気バルブヒータを直接制御し、圧力計をモニタするための回路および/またはサブシステムと、基板処理システムの様々な構成要素を直接制御するための任意の好適な回路および/またはサブシステムとを含むことができる。メモリ140は、本明細書で説明するような本発明の実施形態に従ってシステム100の動作を制御するために実行または起動することができるソフトウェア(ソースまたはオブジェクトコード)を記憶する。さらに、コントローラ141は、システム100から遠く離れ、かつ/または設備コントローラなどから命令を遠隔操作で受け取ることができる。例えば、コントローラ141および/または設備コントローラは、EtherCAT(Ethernet for Control Automation Technology)などのような遠隔通信システムを介してコントローラ141および/またはシステム100の様々な構成要素と遠隔操作で通信することができる。
図2は、プロセスチャンバに結合された流量コントローラのインシトゥ較正のための方法200の流れ図を表す。方法200(例えば、第1の方法)は、上述の基板処理システム100の実施形態に従って以下で説明することができる。例えば、方法200を実行するための命令は、メモリ140の一部としてのコンピュータ可読媒体などのシステムコントローラ141に、または基板処理システム100を局所的にまたは遠隔操作のいずれかで制御するのに使用することができる任意のコントローラに記憶させることができる。方法200は、202において、第1のガスと異なる標準ガスを使用することによって決定された、計算された第1の関係に基づいて設定点の第1の値に設定されるときに、流量の第1の値で第1のガスを供給するように構成することができる第1の流量コントローラ110Aなどの流量コントローラを供給することによって始まることができる。本明細書で使用される「構成される(configured to)」という句は、本明細書で説明されるとき「意図される(intended to)」を意味することがある。例えば、第1の流量コントローラ110Aは、計算された第1の関係に基づいて設定点の第1の値に設定されるときに流量の第1の値で第1のガスを供給するように意図されうる。しかし、第1の流量コントローラ110Aは、計算された第1の関係に基づいて設定点の第1の値に設定されるとき、必ずしも流量の第1の値で第1のガスを供給しないことがある。
例えば、計算された第1の関係は、第1の流量コントローラ110Aの製造中に、第1の流量コントローラ110Aの製造業者によって決定されうる。したがって、計算された第1の関係を実行するための命令は、位置コントローラ124Aなどの一部であるコンピュータ可読媒体などの第1の流量コントローラ110Aに記憶されうる。命令(例えば、第2の方法)が位置コントローラ124Aによって実行されるとき、第1の流量コントローラ124Aは計算された第1の関係を設定点の入力値に適用して、流量の対応する出力値で第1のガスを流すことができる。
例えば、計算された第1の関係を決定することには、標準ガスに対する流量と設定点との間の実際の第2の関係を、標準ガスの流量に対する複数の値および第1の流量コントローラの設定点の対応する複数の値から決定することを含むことができる。例えば、実際の第2の関係は、質量流量検定器などのような較正デバイスを使用することによって決定することができる。例えば、圧力上昇率を、設定点の複数の値の対応する値ごとに標準ガスで測定することができ、次に、標準ガスの流量の複数の値の各値を、設定点の複数の値の対応する値ごとに圧力上昇率から決定することができる。例えば、標準ガスは窒素(N)とすることができる。一般に、製造業者は、いくつかの設定点で、すなわち、約3つ以上の設定点で圧力上昇率を測定し、対応する設定点ごとに圧力上昇率から各設定点の流量を決定することができる。対応する複数の設定点の標準ガスの複数の決定された流量を直線にフィットさせて、標準ガスに対する実際の第2の関係を決定することができる。次に、ガス補正係数(例えば、第1のガス補正係数)を使用して、第1のガスで使用するために実際の第2の関係を調節し、計算された第1の関係を形成することができる。例えば、ガス補正係数は、補正係数が適用される場合、フィットされた直線の切片を変更する倍率とすることができる。例えば、ガス補正係数は、密度、比熱などのような第1のガスの物理定数から計算することができる。
残念ながら、発明者等は、計算された第1の関係が、第1の流量コントローラの設定点の第1の値において、第1のガスに対する流量の第1の値を与えない(与えるように構成されているけれども)ことがあることを発見した。例えば、発明者等は、計算された第1の関係に対する欠点には、標準ガスに対する実際の第2の関係が第1の流量コントローラの全設定点範囲にわたっては直線を近似しないことがあり、さらに、標準ガスに対する実際の第2の関係が第1の流量コントローラの全設定点範囲にわたっては第1のガスについて決定された同じ関係と同じ形状を有していない可能性があることが含まれることがあることを確認した。それ故に、計算された第1の関係は、第1の流量コントローラに対する設定点の全範囲にわたっては第1のガスの流量を正確に与えないことがある。いくつかの実施形態では、精度は、各々が設定点の全範囲の一部分のみを担当するように構成された多数の流量コントローラを使用することによって改善することができる。例えば、ある流量コントローラは設定点範囲の低い部分に関して低い流量を担当するのに使用することができ、別の流量コントローラは設定点範囲の高い部分に関して高い流量を担当するのに使用することができる。しかし、多数の流量コントローラを使用するのはコスト的および空間的に禁止となることがある。それ故に、発明者等は、第1の流量コントローラ110Aがその設定点の全範囲にわたり所望の流量で第1のガスを供給するように、第1の流量コントローラ110Aを較正するインシトゥの方法200を考案した。
204において、実際の第1の関係が、第1の流量コントローラ110Aの設定点の対応する複数の値で決定された流量の複数の値から第1のガスに対する流量と設定点との間で決定される。例えば、流量の複数の値の各値は、設定点の複数の値の対応する値で第1のガスを流量コントローラを通して流すことによって決定することができる。例えば、第1のガスは、第1のガス源118Aで供給することができ、第1の流量コントローラ110Aを通して流し、次に、各流量を決定するためにガス注入口116の代わりに較正デバイス119に進路を変えることができる。較正デバイス119は、図1に示すように、第1の流量コントローラ110Aと処理容積部104との間でシステム100に結合することができる。較正デバイス119は、質量流量検定器、質量流量計、molbloc(商標)流量要素などのような任意の好適な較正デバイスとすることができる。さらに、較正デバイス119は、インシトゥ減衰速度(in−situ rate of decay)などのような任意の好適な較正法を利用することができる。
例えば、いくつかの実施形態では、較正デバイス119を使用して第1のガスの流量に対する複数の値を決定することは、第1の流量コントローラ110Aの設定点の複数の値の対応する値ごとに第1のガスに対する圧力上昇率を測定することと、設定点の複数の値の対応する値ごとに圧力上昇率から第1のガスに対する流量の複数の値の各値を決定することとを含む。例えば、圧力上昇率は、第1の流量コントローラ110Aに対する設定点の全範囲にわたり第1のガスに対する流量の挙動を決定するのに必要な多くの設定点、例えば10個以上の設定点、または任意の好適な数の設定点で測定することができる。
例えば、第1のガスに対する流量の複数の値が上述のように設定点の対応する値ごとに決定された後、次に、対応する複数の設定点の第1のガスに対する複数の決定された流量を曲線にフィットさせて、第1のガスに対する実際の第1の関係を決定することができる。例えば、曲線は、設定点に対する対応する複数の値にわたり第1のガスに対する流量の複数の値の挙動から決定されるような任意の好適な形状とすることができる。例えば、曲線は、多項式、二項式、3次エルミートなどとすることができる。実際の第1の関係は、第1の流量コントローラ110Aに対する設定点の全範囲にわたり第1のガスの流量を正確に決定することができる。実際の第1の関係は、有利には、第1の流量コントローラ110Aの設定点の全範囲にわたり流量を決定することと、設定点の全範囲にわたり第1のガスに対する流量の挙動を近似するようにフィットさせた非線形曲線を使用することとのおかげで、第1の流量コントローラ110Aから第1のガスに対する広範囲の正確な流量を提供することができる。
206において、第1のガスは、実際の第1の関係に基づいて第1の流量コントローラ110Aから流量の第1の値で流れることができる。例えば、実際の第1の関係が204において決定された後、較正デバイス119に進路を変えられた第1のガスの流れを処理容積部104に導くことができる。例えば、実際の第1の関係は、任意の数の好適なデータ移送方式によって第1の質量流量コントローラ110Aに適用することができる。例えば、いくつかの実施形態では、実際の第1の関係はシステムコントローラ141に常駐し、実行されるとき設定値の入力値を第1の流量コントローラ110Aに送り込み、その結果、流量の第1の値は第1の流量コントローラ110Aから流される。例えば、第1のガスに対する流量の第1の値を生成する設定点の第1の値と異なる設定値の第2の値を、実際の第1の関係から決定することができる。次に、設定点の第2の値を、計算された第1の関係に入力値として適用して、第1の流量コントローラ110Aから第1のガスに対する流量の第1の値に等しい出力値を供給することができる。したがって、前述の例示的な方法では、システムコントローラ141は実際の第1の関係を使用して、設定点の第2の値を、計算された第1の関係への入力として供給し、第1の流量コントローラ110Aから流量の所望の第1の値を生成することができる。
代替として、第1の流量コントローラ110Aに常駐することができる計算された第1の関係は、システムコントローラ141に常駐することができる実際の第1の関係で更新および/または取り替えることができる。例えば、システムコントローラ141は、204において実際の第1の関係を決定した後、情報を第1の流量コントローラ110Aにフィードバックし、それにより、第1の流量コントローラ110Aは計算された第1の関係を実際の第1の関係に再書き込みすることができる。代替として、システムコントローラ141は情報を第1の流量コントローラ110Aにフィードバックして、計算された第1の関係を実際の第1の関係と取り替えることができる。例えば、計算された第1の関係の更新および/または取替えは、例えば、第1の流量コントローラ110Aで新しいファームウェアを発生させる整備ルーチンなどの整備ルーチンの一部として行うことができる。
例えば、計算された関係の実際の第1の関係との更新および/または取替えが完了した後、第1の流量コントローラ110Aは実際の第1の関係に基づいて設定点の第1の値に設定して、第1の流量コントローラ110Aから流量の第1の値で第1のガスを流すことができる。
代替として、計算された第1の関係は、システムコントローラ141に常駐する、および/またはシステムコントローラ141にダウンロードし、それに応じて変更することができる。例えば、計算された第1の関係は、当初は、第1の流量コントローラ110Aに常駐することができる。方法200の間に、計算された第1の関係は、システムコントローラ141にダウンロードされ、システムコントローラ141によって変更されて、実際の第1の関係を形成することができる。次に、実際の第1の関係は第1の流量コントローラ110Aにアップロードされて、計算された第1の関係を更新および/または取り替えることができる。計算された第1の関係をシステムコントローラ141にダウンロードするこの代替方法は、計算された第1の関係がシステムコントローラ141から受け取った情報に基づいて第1の流量コントローラ110Aによって更新される上述の代替方法と異なることができる。例えば、本代替方法では、システムコントローラ141は計算された第1の関係を直接変更する。比較すると、前述の代替方法では、システムコントローラ141は情報を第1の流量コントローラ110Aに与え、第1の流量コントローラ110Aは、システムコントローラ141によって与えられた情報に基づいて計算された第1の関係を変更する。
方法200は、例えば、第2の流量コントローラ110Bで、または任意の所望の数の流量コントローラで利用することができる。例えば、第2の流量コントローラ110Bは、第2のガスと異なる標準ガスを使用することによって決定された、計算された第2の関係に基づいて設定点の第2の値に設定されるときに、流量の第2の値で第2のガスを供給するように構成することができる。計算された第2の関係は、実際の標準関係を調節するために第2のガスで使用するための第2のガス補正係数を使用すること以外は計算された第1の関係と同様の方法で、第2の流量コントローラ110Bの製造業者によって決定されうる。計算された第2の関係は、コンピュータ可読媒体などのような第2の流量コントローラ110Bに常駐することができる。
例えば、第2の流量コントローラ110Bを較正する方法は、第2のガスに対する流量と設定点との間の実際の第2の関係を、第2の流量コントローラの設定点の対応する複数の値で決定された第2のガスの流量の複数の値から決定することであり、流量の複数の値の各々が、設定点に対する複数の値の対応する値で第2のガスを第2の流量コントローラを通して流すことから決定される、実際の第2の関係を決定することと、実際の第2の関係に基づいて第2の流量コントローラから流量の第2の値で第2のガスを流すこととを含むことができる。
実際の第2の関係は、上述のような実際の第1の関係と同様の方法で決定することができる。実際の第2の関係にフィットさせるために使用される曲線は、実際の第1の関係にフィットさせるのに使用された曲線と異なることがあり、第2のガスの挙動に依存することがある。
本発明の方法は、流量コントローラの調子をモニタする方法をさらに含むことができる。例えば、流量コントローラのヘルスモニタリングは、不完全および/または不十分な較正の流量コントローラを使用したツールによって生成された不完全な製品バッチを避けるのに望ましいことがある。例えば、以下で説明するモニタリング方法は、システムコントローラ141に常駐し、流量コントローラに情報を与える整備ルーチンの間、および/または情報を流量コントローラからシステムコントローラにダウンロードし、情報を更新し、更新された情報を流量コントローラに再ロードする整備ルーチンの間などに流量コントローラの位置コントローラにアップロードおよび/または統合することができる。
例えば、1つのそのようなヘルスモニタリング方法は、流量コントローラの累積ゼロドリフトをモニタすることとすることができる。例えば、この方法は、流量コントローラのゼロオフセットを、定期的に、整備中に、または任意の所望の間隔でなどでモニタすることを含むことができる。記録は、例えば、初期較正および/または設置期日からの流量コントローラの累積ゼロドリフトから取ることができる。例えば、初期時間において、ゼロオフセットは全流量範囲の約1%とすることができる。初期時間の後の第2の時間に測定されたとき、ゼロオフセットは、全流量範囲の約2%の累積ゼロドリフトの場合、初期時間よりも約1%大きいとしてよい。例えば、第2の時間の後の第3の時間に測定されたとき、ゼロオフセットは、約1%の累積ゼロドリフトの場合、第2の時間よりも約1%少ないとしてよい。この方法は、累積ゼロドリフトが臨界値、例えば全流量範囲の約10%に達するまで、流量コントローラの累積ゼロドリフトをモニタすることができる。臨界値で、システムコントローラ141は、流量コントローラが整備および/または取替えを必要とするという警告を発することができる。例えば、累積ゼロドリフトが、例えば全流量範囲の約20%などの臨界値を超えるとき、システムコントローラ141は命令を発して、流量コントローラを停止することができる。
上述の累積ゼロドリフトの実施形態と同様に、ヘルスモニタリング方法の別の実施形態は、流量コントローラの累積動作寿命をモニタすることを含むことができる。例えば、この方法は、例えば流量コントローラが動作するたびの流量コントローラの動作寿命と、流量コントローラが動作したままである時間の長さとをモニタすることを含むことができる。記録は、例えば、設置期日からの流量コントローラの累積動作寿命から取ることができる。例えば、記録は、流量コントローラが動作された第1の時間の長さについて取ることができる。流量コントローラはある期間の間休止していて、次に、第2の時間の長さの間再び動作することができる。第1の時間の長さおよび第2の時間の長さを一緒に加えて、流量コントローラの累積動作寿命を決定することができる。この方法は、累積動作寿命が臨界値、例えば約8760時間に達するまで流量コントローラの累積動作寿命をモニタすることができる。臨界値で、システムコントローラ141は、流量コントローラが整備および/または取替えを必要とするという警告を発することができる。例えば、累積動作寿命が、例えば約17520時間などの臨界値を超えるとき、システムコントローラ141は命令を発して、流量コントローラを停止することができる。
ヘルスモニタリング方法の別の実施形態は、流量コントローラの温度安定度をモニタすることを含むことができる。例えば、流量コントローラは、流量コントローラまたは流量コントローラに供給されるガスの温度変動に応答して調節バルブ122の位置をモニタし調節するために温度センサ(センサ120の一部または別個のセンサ)を含むことができる。例えば、温度変動は、例えばガスパネルなどのようなシステム100の構成要素を開けることによって引き起こされることがある。しかし、流量コントローラの温度安定度をモニタする方法は存在しない。例えば、温度センサは、流量コントローラに温度変動に応答して調節バルブの位置を変更させることができ、製品バッチは、温度変動が存在したという指示がないために損害を受けることがある。したがって、本発明のモニタリング方法は、起動の前に、処理中に、または流量コントローラの温度安定度を決定するための任意の好適な時間に流量コントローラの温度をモニタすることを含むことができる。例えば、この方法は、例えばシステム100の起動の後、温度の読みが安定するように約30秒以上待機することを含むことができる。温度値は、第1の期間、例えば約10秒にわたり、第1の間隔で、例えば約100ミリ秒ごとにサンプリングすることができる。このサンプリングプロセスは、第2の期間、例えば約10分にわたり数回行うことができる。サンプリングされた温度値の平均および標準偏差を計算することができる。例えば、標準偏差が、例えば設定温度値の約0.1%だけ設定温度値を超える場合、設定温度値の百分率としての標準偏差を、温度安定度がシステムコントローラで達成されていないというメッセージとともに表示することができる。代替として、標準偏差が設定温度値を超えない場合、例えば、標準偏差が設定温度値の約0.1%未満である場合、流量コントローラは機能常態を続けることができ、メッセージは表示されない。
ヘルスモニタリング方法の別の実施形態は、流量コントローラの信号雑音をモニタすることを含むことができる。例えば、信号雑音は、調節バルブの位置を変化させるために流量コントローラの位置コントローラから調節バルブに発せられうる出力信号に対してモニタすることができる。例えば、時間とともに、流量コントローラは劣化するので、出力信号は雑音が多くなることがある。例えば、いくつかの実施形態では、エッチングプロセスの間などに、信号を使用して、エッ深さをモニタし、エッチ深さが到達されたとき流れを止めるように流量コントローラに命じることができる。例えば、出力信号の雑音が流量コントローラの劣化とともにより大きくなったとき、流量コントローラは、出力信号中の雑音をエッチ深さが到達された表示として誤解することがある。したがって、発明者等は、信号雑音のレベルに関して流量コントローラの出力信号をモニタする方法を提供している。例えば、出力信号の定常状態設定点からの標準偏差を、例えば約10秒の期間などの規定された期間にわたり約10ヘルツ(Hz)、約100Hz、約1000Hz、または任意の好適なサンプリング速度などの任意の望ましいサンプリング速度でモニタすることができる。例えば、標準偏差が出力信号の定常状態設定点を、例えば約0.1%だけ超える場合、システムコントローラ141は警告を発することができる。
ヘルスモニタリング方法の別の実施形態は、流量コントローラのパラメータおよびシステム100のパラメータを含むいくつかのパラメータの関数として流量コントローラの調子をモニタすることを含むことができる。例えば、パラメータは、流量コントローラの流量出力、流量コントローラの圧力出力、流量コントローラの温度出力、流量コントローラのバルブ位置、流量コントローラの全窒素等価流量出力(total nitrogen equivalent flow output)、チャンバ圧力、排気バルブ位置などを含むことができる。例えば、パラメータの1つまたは複数の組合せは、流量コントローラが多くまたは少なく流している場合、ライン圧力が増加または減少している場合、ライン温度が上昇または低下している場合などに、1つまたは複数のシステム挙動を診断するのに使用することができる。例えば、上述のようなライン圧力およびライン温度は、ガス源118A、118Bと流量コントローラ110A、110Bとの間に配置されたガス供給ラインの圧力および温度を指すことができる。
例えば、システム100の起動時に、例えば、システム100が最初に稼働状態になるとき、またはシステム100が整備のために停止された後、一連の診断測定値を記録することができる。例えば、流量コントローラは第1の流量を供給することができ、上述のパラメータは第1の流量に関して記録することができる。例えば、プロセス実行の後に、または定期的に、または不規則なモニタリング間隔で、チャンバは、流量コントローラが当初に記録したものと同じパラメータの値で第1の流量を供給し続けているかどうかを確かめるために試験することができる。例えば、パラメータの組合せを使用して、システム挙動を診断することができる。例えば、第1の流量において、流量コントローラのバルブ位置、全窒素等価流量、および排気バルブ位置が、現在、当初測定されたものよりも高い場合、流量コントローラが多く流していることがある。しかし、1つのパラメータのみが外れている、例えば、流量コントローラのバルブ位置は高いが、全窒素等価流量および排気バルブ位置は同じである場合、それは、流量コントローラが多く流している以外の問題を示していることがある。例えば、第1の流量において、流量コントローラのバルブ位置、全窒素等価流量、および排気バルブ位置が、現在、当初測定されたものよりも低い場合、流量コントローラは少なく流していることがある。したがって、パラメータの他の組合せを使用して、上述で列記したものなどの他のシステム挙動を診断することができる。
先の段落で説明したような流量コントローラのヘルスモニタリングの代替として、または流量コントローラのヘルスモニタリングと組み合わせて、流量コントローラのモニタされたパラメータおよび/またはプロセスチャンバのパラメータは、例えば、後続のプロセスステップの間に、および/または他の予測診断方法のために応答時間を最適化するのに利用することができる。例えば、バルブ電圧などのような流量コントローラのパラメータは、流量コントローラおよび/またはプロセスチャンバのパラメータなどの他のパラメータと組み合わせて上述のようにモニタすることができる。流量コントローラのパラメータは、所望の流量などのような所望の反応を生成することができる。例えば、後続のプロセスステップにおいて、流量コントローラは、モニタされたパラメータに、およびオプションとしてプロセスチャンバおよび/または流量コントローラの他のモニタされるパラメータと組み合わせて設定して、所望の反応を生成する時間を最適化することができる。代替として、後続のプロセスステップにおいて、流量コントローラは、例えば、モニタされたパラメータに基づいて外挿された新しい値などのモニタされたパラメータの新しい値に設定して、所望の反応を生成する時間を最適化することができる。
予測診断方法などの他の例は、流量コントローラに対する注入口圧力などの注入口圧力をモニタすることを含むことができる。例えば、モニタされた注入口圧力が、時間とともに、またはプロセスステップ間になどで変化する場合、警告を発することができ、または圧力変換器診断ルーチンを作動させることができる。
前述は本発明の実施形態を対象とするが、本発明の他のおよびさらなる実施形態を本発明の基本的な範囲から逸脱することなく考案することができる。

Claims (13)

  1. プロセスチャンバに結合された流量コントローラのインシトゥ較正の方法であって、
    第1のガスと異なる標準ガスを使用することによって決定された、計算された第1の関係に基づいて設定点の第1の値に設定されるときに、流量の第1の値で前記第1のガスを供給するように構成された流量コントローラを供給することと、
    前記第1のガスに対する前記流量と前記設定点との間の実際の第1の関係を、前記流量コントローラの前記設定点の対応する複数の値で決定された前記第1のガスの前記流量の複数の値から決定することであり、前記流量の前記複数の値の各々が、前記設定点に対する前記複数の値の対応する値で前記第1のガスを前記流量コントローラを通して流すことから決定される、実際の第1の関係を決定することと、
    前記実際の第1の関係に基づいて前記流量コントローラから前記流量の前記第1の値で前記第1のガスを流すこととを含み、
    前記第1のガスの前記流量に対する前記複数の値が、前記流量コントローラと前記プロセスチャンバの間に配置された較正デバイスにより決定される、方法。
  2. 前記第1のガスの前記流量に対する前記複数の値を、前記較正デバイスを使用して決定することが、
    前記設定点の前記複数の値の対応する値ごとに前記第1のガスに対する圧力上昇率を測定することと、
    前記設定点の前記複数の値の対応する値ごとに前記圧力上昇率から前記第1のガスに対する前記流量の前記複数の値の各値を決定することとをさらに含む、請求項に記載の方法。
  3. 前記計算された第1の関係を決定することが、
    前記標準ガスに対する流量と設定点との間の実際の第2の関係を、前記標準ガスの前記流量に対する複数の値および前記流量コントローラの前記設定点の対応する複数の値から決定することと、
    前記第1のガスで使用するためにガス補正係数を適用して前記実際の第2の関係を調節し、前記計算された第1の関係を形成することとをさらに含む、請求項1に記載の方法。
  4. 前記実際の第1の関係に基づいて前記流量コントローラから前記流量の前記第1の値で前記第1のガスを流すことが、
    前記実際の第1の関係に基づいて、前記第1のガスに対する前記流量の前記第1の値を生成する前記設定点の前記第1の値と異なる前記設定点の第2の値を決定することと、
    入力値として前記設定点の前記第2の値を前記計算された第1の関係に適用して、前記流量コントローラから前記第1のガスに対する前記流量の前記第1の値に等しい出力値を供給することとをさらに含む、請求項に記載の方法。
  5. 前記実際の第1の関係に基づいて前記流量コントローラから前記流量の前記第1の値で前記第1のガスを流すことが、
    前記計算された第1の関係を前記流量コントローラの前記実際の第1の関係と取り替えることと、
    前記実際の第1の関係に基づいて前記流量コントローラを前記設定点の前記第1の値に設定して、前記流量コントローラから前記流量の前記第1の値で前記第1のガスを流すこととをさらに含む、請求項に記載の方法。
  6. 流量コントローラをインシトゥ較正し、基板を処理するための装置であって、
    処理容積部を有するプロセスチャンバと、
    前記処理容積部に第1のガスを供給するために前記プロセスチャンバに結合された第1の流量コントローラであり、前記第1のガスと異なる標準ガスを使用することによって決定された、計算された第1の関係に基づいて設定点の第1の値に設定されるときに、流量の第1の値で前記第1のガスを供給するように構成される、第1の流量コントローラと、
    前記第1の流量コントローラと前記プロセスチャンバの間に配置された較正デバイスと、
    前記プロセスチャンバに結合されたコントローラであり、前記コントローラによって実行されるときガスを前記処理容積部に流す第1の方法が行われるようにする命令を記憶しているコンピュータ可読媒体を含むコントローラとを備え、前記第1の方法が、
    前記第1のガスに対する前記流量と前記設定点との間の実際の第1の関係を、前記第1の流量コントローラの前記設定点の対応する複数の値で決定された前記第1のガスの前記流量の複数の値から決定することであり、前記流量の前記複数の値の各々が、前記設定点に対する前記複数の値の対応する値で前記第1のガスを前記第1の流量コントローラを通して流すことから決定される、実際の第1の関係を決定することと、
    前記実際の第1の関係に基づいて前記第1の流量コントローラから前記流量の前記第1の値で前記第1のガスを流すことと、を含み、
    前記較正デバイスが、前記第1のガスの前記流量に対する前記複数の値を決定する、装置。
  7. 前記第1の流量コントローラは、コンピュータ可読媒体を有する第1の位置コントローラを含み、前記コンピュータ可読媒体は、前記第1の位置コントローラによって実行されると前記第1の位置コントローラにガスを前記処理容積部に流す第2の方法を行わせる命令を記憶しており、前記第2の方法が、
    前記計算された第1の関係を前記設定点の入力値に適用して、前記流量の対応する出力値で前記第1のガスを前記処理容積部に流すことであり、前記設定点が、前記第1の流量コントローラの調節バルブの位置を制御する、前記第1のガスを前記処理容積部に流すことを含む、請求項に記載の装置。
  8. 前記コントローラが、前記第1の方法の前記実際の第1の関係に基づいて前記流量コントローラから前記流量の前記第1の値で前記第1のガスを流すことに関連する追加の命令を含み、前記追加の命令が、
    前記計算された第1の関係を前記第1の流量コントローラの前記実際の第1の関係と取り替えることと、
    前記実際の第1の関係に基づいて前記第1の流量コントローラを前記設定点の前記第1の値に設定して、前記第1の流量コントローラから前記流量の前記第1の値で前記第1のガスを流すこととをさらに含む、請求項に記載の装置。
  9. 前記処理容積部に第2のガスを供給するために前記プロセスチャンバに結合された第2の流量コントローラであり、前記第2のガスと異なる標準ガスを使用することによって決定された、計算された第2の関係に基づいて設定点の第2の値に設定されるときに、流量の第2の値で前記第2のガスを供給するように構成される第2の流量コントローラをさらに備える、請求項に記載の装置。
  10. 前記コントローラの前記コンピュータ可読媒体に記憶された、ガスを前記処理容積部に流す前記第1の方法が、
    前記第2のガスに対する前記流量と前記設定点との間の実際の第2の関係を、前記第2の流量コントローラの前記設定点の対応する複数の値で決定された前記第2のガスの前記流量の複数の値から決定することであり、前記流量の前記複数の値の各々が、前記設定点に対する前記複数の値の対応する値で前記第2のガスを前記第2の流量コントローラを通して流すことから決定される、実際の第2の関係を決定することと、
    前記実際の第2の関係に基づいて前記第2の流量コントローラから前記流量の前記第2の値で前記第2のガスを流すこととをさらに含む、請求項に記載の装置。
  11. 前記第2の流量コントローラは、コンピュータ可読媒体を有する第2の位置コントローラを含み、前記コンピュータ可読媒体は、第2の位置コントローラによって実行されると前記第2の位置コントローラにガスを前記処理容積部に流す第2の方法を行わせる命令を記憶しており、前記第2の方法が、
    前記計算された第2の関係を前記設定点の入力値に適用して、前記流量の対応する出力値で前記第2のガスを前記処理容積部に流すことを含む、請求項10に記載の装置。
  12. 前記コントローラが、前記計算された第2の関係を決定することに関連する追加の命令を含み、前記追加の命令が、
    前記標準ガスに対する流量と設定点との間の実際の標準の関係を、前記標準ガスの前記流量に対する複数の値および前記流量コントローラの前記設定点の対応する複数の値から決定することと、
    前記第2のガスで使用するために第2のガス補正係数を適用して前記実際の標準の関係を調節し、前記計算された第2の関係を形成することとをさらに含む、請求項10に記載の装置。
  13. 前記第1の方法の前記実際の第2の関係に基づいて前記第2の流量コントローラから前記流量の前記第2の値で前記第2のガスを流すことが、
    前記計算された第2の関係を前記第2の流量コントローラの前記実際の第2の関係と取り替えることと、
    前記実際の第2の関係に基づいて前記第2の流量コントローラを前記設定点の前記第2の値に設定して、前記第2の流量コントローラから前記流量の前記第2の値で前記第2のガスを流すこととをさらに含む、請求項12に記載の装置。
JP2014533370A 2011-09-29 2012-09-28 流量コントローラのインシトゥ較正の方法 Active JP6268091B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161540817P 2011-09-29 2011-09-29
US61/540,817 2011-09-29
US13/627,547 US9644796B2 (en) 2011-09-29 2012-09-26 Methods for in-situ calibration of a flow controller
US13/627,547 2012-09-26
PCT/US2012/057829 WO2013049511A2 (en) 2011-09-29 2012-09-28 Methods for in-situ calibration of a flow controller

Publications (3)

Publication Number Publication Date
JP2015503134A JP2015503134A (ja) 2015-01-29
JP2015503134A5 JP2015503134A5 (ja) 2015-11-05
JP6268091B2 true JP6268091B2 (ja) 2018-01-24

Family

ID=47991486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014533370A Active JP6268091B2 (ja) 2011-09-29 2012-09-28 流量コントローラのインシトゥ較正の方法

Country Status (6)

Country Link
US (1) US9644796B2 (ja)
JP (1) JP6268091B2 (ja)
KR (1) KR102062596B1 (ja)
CN (1) CN103930971B (ja)
TW (1) TWI578133B (ja)
WO (1) WO2013049511A2 (ja)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5346628B2 (ja) * 2009-03-11 2013-11-20 株式会社堀場エステック マスフローコントローラの検定システム、検定方法、検定用プログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) * 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10031005B2 (en) * 2012-09-25 2018-07-24 Mks Instruments, Inc. Method and apparatus for self verification of pressure-based mass flow controllers
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
RU2619829C1 (ru) * 2013-04-18 2017-05-18 Майкро Моушн, Инк. Проверка датчика измерителя для вибрационного измерителя
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106693128B (zh) * 2015-07-14 2018-12-25 北京谊安医疗系统股份有限公司 一种呼吸机比例阀的流量控制方法
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105203190B (zh) * 2015-10-30 2018-07-20 天津英利新能源有限公司 质量流量计的标定方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6600854B2 (ja) * 2016-08-24 2019-11-06 株式会社フジキン 圧力式流量制御装置、その流量算出方法および流量制御方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6996289B2 (ja) * 2016-12-26 2022-01-17 株式会社島津製作所 バルブ装置
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR101963856B1 (ko) * 2018-01-03 2019-07-31 (주)유시스템 반도체 제조 공정용 적어도 하나의 가스 챔버의 복수의 밸브 제어 방법 및 시스템
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
DE102019117543A1 (de) 2019-06-28 2020-12-31 Aixtron Se Verfahren zum Kalibrieren/Verifizieren von Massenfluss-Mess/Steuer-Geräten eines Gasmischsystems und Vorrichtung zur Durchführung des Verfahrens
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20220046645A (ko) * 2019-08-12 2022-04-14 램 리써치 코포레이션 반도체 제작시 동적 프로세스 제어
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220061192A (ko) * 2019-09-11 2022-05-12 램 리써치 코포레이션 기판 프로세싱 시스템들에서 개선된 프로세싱 챔버 매칭을 위한 플로우 계측 캘리브레이션
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111855179B (zh) * 2020-07-27 2022-09-23 北京七星华创流量计有限公司 流体质量流量控制器的标定方法及标定装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112899663B (zh) * 2021-01-15 2022-12-02 长鑫存储技术有限公司 气体传输设备的检测方法、检测装置与气体传输设备
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230062848A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230113486A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Substrate support assemblies having internal shaft areas with isolated environments that mitigate oxidation

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0559502U (ja) 1992-01-22 1993-08-06 日立金属株式会社 異常温度に対する警報機能を備えたマスフローコントローラ
JPH06275562A (ja) 1993-03-24 1994-09-30 Toshiba Emi Ltd プラズマ処理装置
JPH08335118A (ja) 1995-06-06 1996-12-17 Hitachi Metals Ltd 流量制御方法
US5944048A (en) 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
JP3387849B2 (ja) * 1999-05-10 2003-03-17 株式会社フジキン フローファクターによる流体可変型流量制御方法およびその装置
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6439253B1 (en) 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US20040003052A1 (en) * 2002-03-20 2004-01-01 Fuji Photo Film Co., Ltd. Data detection method, apparatus, and program
EP1523664A4 (en) * 2002-05-24 2006-04-19 Entegris Inc SYSTEM AND METHOD FOR CALIBRATING A MEASURING DEVICE FOR MASS FLOW
KR20050031109A (ko) * 2002-07-19 2005-04-01 셀레리티 그룹 아이엔씨 질량 유량 제어기 내의 압력 보상을 위한 방법 및 장치
JP2004279126A (ja) * 2003-03-13 2004-10-07 Toshiba Meter Techno Kk ガスメータ用パラメータ自動設定システム及びガスメータ
JP2004319857A (ja) 2003-04-18 2004-11-11 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム
US6973375B2 (en) 2004-02-12 2005-12-06 Mykrolis Corporation System and method for flow monitoring and control
JP2006030851A (ja) 2004-07-21 2006-02-02 Mitsubishi Gas Chem Co Inc コンタクトレンズ材料
JP4572139B2 (ja) 2005-05-23 2010-10-27 株式会社フジキン 改良型圧力式流量制御装置
CN100498626C (zh) 2005-12-09 2009-06-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体设备中气体校准的方法
JP2008039513A (ja) 2006-08-03 2008-02-21 Hitachi Metals Ltd 質量流量制御装置の流量制御補正方法
US7743670B2 (en) 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5054500B2 (ja) 2007-12-11 2012-10-24 株式会社フジキン 圧力制御式流量基準器
KR100969990B1 (ko) 2008-03-21 2010-07-15 주식회사 아토 질량 유량 조절기의 점검 방법 및 장치
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
CN101436069B (zh) 2008-11-25 2010-09-15 北京北方微电子基地设备工艺研究中心有限责任公司 质量流量控制器的在线校验方法
JP5337542B2 (ja) * 2009-03-12 2013-11-06 株式会社堀場エステック マスフローメータ、マスフローコントローラ、それらを含むマスフローメータシステムおよびマスフローコントローラシステム

Also Published As

Publication number Publication date
CN103930971B (zh) 2016-06-22
TW201321918A (zh) 2013-06-01
KR102062596B1 (ko) 2020-01-06
WO2013049511A3 (en) 2013-05-23
JP2015503134A (ja) 2015-01-29
WO2013049511A2 (en) 2013-04-04
CN103930971A (zh) 2014-07-16
US20130081702A1 (en) 2013-04-04
KR20140072143A (ko) 2014-06-12
US9644796B2 (en) 2017-05-09
TWI578133B (zh) 2017-04-11

Similar Documents

Publication Publication Date Title
JP6268091B2 (ja) 流量コントローラのインシトゥ較正の方法
JP6328556B2 (ja) プロセスチャンバに結合された流量コントローラをモニタする方法
US8240324B2 (en) Method and apparatus for in situ testing of gas flow controllers
US8880210B2 (en) Methods and apparatus for processing substrates using model-based control
KR102545993B1 (ko) 플라즈마 처리 장치, 온도 제어 방법 및 온도 제어 프로그램
JP2013519840A (ja) ポンプ速度の調整装置及び方法
WO2019226252A1 (en) Virtual sensor for spatially resolved wafer temperature control
TWI434325B (zh) 資訊處理裝置、半導體製造系統、資訊處理方法、程式產品及儲存媒體
JP3872776B2 (ja) 半導体製造装置及び半導体製造方法
JP7270489B2 (ja) 性能算出方法および処理装置
US20050204824A1 (en) Device and system for pressure sensing and control
JP6935599B1 (ja) プラズマ処理装置及びプラズマ処理装置の運転方法
KR20220168981A (ko) 온도 보정 정보 산출 장치, 반도체 제조 장치, 프로그램, 온도 보정 정보 산출 방법
JP2012047556A (ja) プラズマ中に存在する活性種の測定装置及び方法
CN116382391A (zh) 压力调节流量控制器

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150908

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170922

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20171003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171205

R150 Certificate of patent or registration of utility model

Ref document number: 6268091

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250