JP6228747B2 - 電力半導体素子 - Google Patents

電力半導体素子 Download PDF

Info

Publication number
JP6228747B2
JP6228747B2 JP2013082669A JP2013082669A JP6228747B2 JP 6228747 B2 JP6228747 B2 JP 6228747B2 JP 2013082669 A JP2013082669 A JP 2013082669A JP 2013082669 A JP2013082669 A JP 2013082669A JP 6228747 B2 JP6228747 B2 JP 6228747B2
Authority
JP
Japan
Prior art keywords
semiconductor layer
region
gate electrode
layer
electrical isolation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013082669A
Other languages
English (en)
Other versions
JP2014096559A5 (ja
JP2014096559A (ja
Inventor
オ,ジュンフン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LG Innotek Co Ltd
Original Assignee
LG Innotek Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Innotek Co Ltd filed Critical LG Innotek Co Ltd
Publication of JP2014096559A publication Critical patent/JP2014096559A/ja
Publication of JP2014096559A5 publication Critical patent/JP2014096559A5/ja
Application granted granted Critical
Publication of JP6228747B2 publication Critical patent/JP6228747B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0646PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/34Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being on the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

実施例は、電力半導体素子に関する。
電力半導体素子として、ショットキー障壁ダイオード(Schottky barrier diode)、金属半導体電界効果トランジスタ(metal semiconductor field effect transistor)、高速電子移動度トランジスタ(HEMT:High Electron Mobility Transistor)などがある。
高速電子移動度トランジスタ(HEMT)は、高電子移動度及び低雑音特性などの特長から、ミリメートル波帯域の超高周波用の集積回路素子として広く応用されている。HEMTが用いられる応用システムが極めて複雑且つ高精度化するに伴って、より優れた素子特性、特に、優れたRF(Radio Frequency)特性が要求されている。
最大共振周波数(fmax)は、HEMTのRF特性を評価する上で極めて重要な要素の一つであり、小信号パラメータを最適化し且つDC特性を改善することによって向上させることが可能である。HEMTのDC特性及び小信号パラメータに影響を与える多くの変数があり、以下では、それらの変数の中でも最も重要なものとされるゲート−リセス構造を中心にして説明する。
図1は、ゲート電極が配置されるリセス領域の幅が広い第1のゲート−リセス構造を有する従来のHEMT素子を簡略に示す側断面図であり、図2は、ゲート電極が配置されるリセス領域の幅が狭い第2のゲート−リセス構造を有する従来のHEMT素子を簡略に示す側断面図である。
図1及び図2を参照すると、従来のHEMT素子1A,1Bは、基板10、基板10上にバッファー層20、バッファー層20上にバリアー層30、及びバリアー層30上にキャップ層40を備えている。
従来のHEMT素子1A,1Bはそれぞれ、キャップ層40の一部が除去されてバリアー層30を露出させるリセス領域R1,R2を有しており、リセス領域R1,R2にゲート電極53が配置され、キャップ層40上にソース電極51とドレイン電極52が配置されている。
図1には、ソース電極51及びドレイン電極52に対応する部分以外のキャップ層40が全て除去された第1のゲート−リセス構造(wide−recess)を有するHEMT素子1Aを示し、図2には、ゲート電極53の底領域に対応する部分のキャップ層40のみが除去された第2のゲート−リセス構造(narrow−recess)を有するHEMT素子1Bを示す。
第2のゲート−リセス構造を有するHEMT素子1Bは、第1のゲートリセス構造を有するHEMT素子1Aに比べて優れた最大ドレイン電流(Idss,max)及び最大トランスコンダクタンス(Gm,max)値を有する。第1のゲートリセス構造を有するHEMT素子1Aにおいては、リセス領域R1により露出されたバリアー層30の表面に存在する自由表面準位(free surface state、Xで表示)40aが、負に荷電した表面準位(negatively charged surface state)を示しながらチャンネル21内の電界を変化させ、シートキャリア濃度(sheet carrier density、n)を減少させるわけである。
一方、第2のゲート−リセス構造を有するHEMT素子1Bは、優れたDC特性を有するにもかかわらず、第1のゲートリセス構造を有するHEMT素子1Aが示すRF特性に比べて、改善したRF特性を示していない。第2のゲート−リセス構造を有するHEMT素子1Bでは、伝導性を有するキャップ層40がゲート電極53の近傍にまで配置されてゲート−ドレイン電極間の実質的な距離が減少することからゲートとドレイン間のキャパシタンス(Cgd)が極めて大きくなるわけである。ゲートとドレイン間のキャパシタンス(Cgd)以外の小信号パラメータはRF特性に及ぶ影響が比較的少ないため、Cgdにのみ重点をおいて説明した。
そこで、優れたDC特性を示すと同時に、優れたRF特性を有する電力半導体素子が望まれている。
一実施例に係る電力半導体素子は、基板と、前記基板上に設けられた第1の半導体層と、前記第1の半導体層上に設けられた第2の半導体層と、前記第2の半導体層上に設けられ、前記第2の半導体層の一部を露出させる第3の半導体層と、前記第3の半導体層を通して露出された前記第2の半導体層上に設けられたゲート電極と、前記第3の半導体層上において前記ゲート電極を挟んで互いに離れて設けられたソース電極及びドレイン電極と、を備え、前記ゲート電極と前記ドレイン電極との間の第3の半導体層に電気的分離領域が設けられている。
ここで、前記電気的分離領域は、前記ドレイン電極に隣接して設けられていてもよい。
前記電気的分離領域に注入されるイオンは、前記第3の半導体層の導電型と異なるタイプの導電型を有することができる。
前記電気的分離領域は、Mg、Zn、Ca、Sr、Ba、Fe又はArのいずれか1種を含むことができる。
前記第3の半導体層に設けられた電気的分離領域の厚さは、前記第3の半導体層の全体膜厚と同一であってもよい。
前記第3の半導体層により露出された前記第2の半導体層の幅は、前記ゲート電極の長さと対応してもよい。
前記電気的分離領域は、前記第3の半導体層から前記第2の半導体層の一部まで延びていてもよい。
前記第2の半導体層に接する前記第1の半導体層の界面にチャンネル層が設けられており、前記電気的分離領域は前記チャンネル層と離れていてもよい。
前記第2の半導体層に設けられた電気的分離領域の厚さは、前記第2の半導体層の全体膜厚よりも小さくなっていてもよい。
前記ゲート電極は、前記第2の半導体層に接していてもよい。
前記ゲート電極は、前記 第2の半導体層に連結された部分の幅が、前記 第2の半導体層に連結された部分と反対側の部分の幅よりも 狭くなっていてもよい。
前記ゲート電極は、前記第2の半導体層に連結された部分の幅が第2の半導体層に連結された部分と反対側の部分の幅よりも狭くなっていてもよい。
前記第2の半導体層はリセス部を有し、前記ゲート電極は前記リセス部に設けられていてもよい。
前記リセス部は、前記第3の半導体層により露出された第2の半導体層の領域と対応してもよい。
前記第3の半導体層の上部にパシベーション層が設けられていてもよい。
他の実施例に係る電力半導体素子は、ソース電極、ドレイン電極、及び前記ソース電極と前記ドレイン電極との間のゲート電極と、前記ソース電極及び前記ドレイン電極の下部に設けられており、且つ前記ゲート電極の長さに対応する幅を有するオープン領域を有している第3の半導体層と、前記第3の半導体層の下部に設けられており、且つ前記オープン領域を通して前記ゲート電極に連結された第2の半導体層と、前記第2の半導体層の下部に設けられた第1の半導体層と、を備え、前記第3の半導体層は、前記ゲート電極に隣接した第1の領域、前記ドレイン電極に隣接した第2の領域、及び前記第1の領域と前記第2の領域との間の第3の領域を有し、前記第3の領域は前記第1の領域と前記第2の領域とを電気的に分離させる。
ここで、前記第3の領域は、前記第3の半導体層の導電型と異なるタイプの導電型を有するイオンが注入された電気的分離領域であってもよい。
前記第3の領域は、Mg、Zn、Ca、Sr、Ba、Fe又はArのいずれか1種を含むことができる。
前記第3の領域は、前記ドレイン電極に隣接して設けられていてもよい。
さらに他の実施例に係る電力半導体素子は、基板と、前記基板上に設けられた第1の半導体層と、前記第1の半導体層上に設けられた第2の半導体層と、前記第2の半導体層上に設けられており、前記第2の半導体層の一部を露出させる第3の半導体層と、前記第3の半導体層を通して露出された前記第2の半導体層上に設けられたゲート電極と、前記第3の半導体層上において前記ゲート電極を挟んで互いに離れて設けられたソース電極及びドレイン電極と、を備え、前記第3の半導体層は、前記ゲート電極と前記ドレイン電極との間において、前記ゲート電極に隣接した部分と前記ドレイン電極に隣接した部分とが電気的に分離されている。
実施例によれば、優れたDC特性を示すと同時に優れたRF特性を有する電力半導体素子を作製することが可能になる。
ゲート電極が配置されるリセス領域の幅が広い第1のゲート−リセス構造を有する従来のHEMT素子を簡略に示す側断面図である。 ゲート電極が配置されるリセス領域の幅が狭い第2のゲート−リセス構造を有する従来のHEMT素子を簡略に示す側断面図である。 第1の実施例に係る電力半導体素子の側断面図である。 第2の実施例に係る電力半導体素子の側断面図である。 図3又は図4のA部分を拡大して示す図である。 図3又は図4のA部分を拡大して示す図である。 図3又は図4のA部分を拡大して示す図である。 実施例に係る電力半導体素子を作製する方法の一例を示す図である。 実施例に係る電力半導体素子を作製する方法の一例を示す図である。 実施例に係る電力半導体素子を作製する方法の一例を示す図である。 実施例に係る電力半導体素子のRF特性を説明するためのグラフである。 実施例に係る電力半導体素子のDC特性を説明するためにIdss,max及びGm,maxを示したグラフである。 実施例に係る電力半導体素子のRF特性を説明するためにF及びFmaxを示したグラフである。
以下、本発明を、添付の図面を参照しつつ具体的な実施例を挙げて説明する。ただし、本発明の実施例は種々の形態に変形可能であり、本発明の範囲が、以下に詳述する実施例に限定されることはない。本発明の実施例は当該技術の分野における平均的な知識を有する者に本発明をより完全に説明するために提供されるものに過ぎない。
本発明に係る実施例の説明において、ある構成要素(element)が他の構成要素の「上(on)」に又は「下(under)」に形成されるとした記載は、両構成要素が「直接(directly)」接触して形成される場合、又は「別のものを介在して(indirectly)」形成される場合の両方を意味する。また、「上(on)」又は「下(under)」と表現された場合は、一つの構成要素を基準に上方を指す場合もあり、下方を指す場合もある。
図中、各層の厚さや大きさは、説明の便宜及び明確性のために誇張、省略又は概略して示されている。なお、各構成要素の大きさが実の大きさを全的に反映しているわけではない。
図3は、第1の実施例に係る電力半導体素子の側断面図である。
図3を参照すると、第1の実施例に係る電力半導体素子100Aは、基板110、第1の半導体層120、第2の半導体層130、第3の半導体層150、ソース電極161、ドレイン電極162及びゲート電極163を備えている。
基板110は、サファイア(Al)基板、シリコン(Si)基板、炭化ケイ素(SiC)基板、窒化ガリウム(GaN)基板、又はガリウムヒ素(GaAs)基板が挙げられるが、それらに限定されない。
基板110上に、第1の半導体層120及び第2の半導体層130を有する異種接合構造物140が形成される。
基板110上に第1の半導体層120を成長させる前に、基板110と第1の半導体層120との格子定数差を緩和するために遷移層115を先に成長させることができる。遷移層115は、例えば、AlN、AlGaNの単一層、又はAlN/AlGaN、AlN/GaN、AlGaN/AlGaNの多層構造にすることができるが、これに限定されない。また、実施例によって、遷移層115は、Alの組成を異にするAlGaNの多層構造にしてもよく、例えば、第1の半導体層120との格子定数差を緩和するために、第1の半導体層120に向かってAlの含有量が減少する構造にしてもよいが、これに限定されない。
遷移層115上に、第1の半導体層120と第2の半導体層130の異種接合構造物140を成長させる。
第1の半導体層120と第2の半導体層130は、互いに分極率及びエネルギーバンドキャップが異なる半導体物質層で形成されている。第1の半導体層120の分極率及びエネルギーバンドキャップは、第2の半導体層130の分極率及びエネルギーバンドキャップよりも小さくすることができる。
第1の半導体層120は、アンドープ(undoped)又はドープ(doped)半導体層でよく、例えば、GaN、InN、AlN、InGaN、AlGaN、InAlGaN、AlInN、GaAs、AlGaAs、InGaAs、AlInGaAs、GaP、AlGaP、InGaP、AlInGaP、InPの少なくとも1種を含むことができる。
第2の半導体層130は、アンドープ又はドープ半導体層でよく、例えば、GaN、InN、AlN、InGaN、AlGaN、InAlGaN、AlInN、GaAs、AlGaAs、InGaAs、AlInGaAs、GaP、AlGaP、InGaP、AlInGaP、InPの少なくとも1種を含むことができる。
第1の半導体層120と第2の半導体層130とのエネルギーバンドキャップ差により接合界面でエネルギーバンドの不連続が発生し、第1の半導体層120と第2の半導体層130との格子定数差により分極が発生することで、2次元電子ガス(2−Dimensional Electron Gas:2−DEG)層が形成される。該2次元電子ガス(2−DEG)層は、第2の半導体層130に接する第1の半導体層120の界面に形成され、チャンネル層122の役割を担うことができる。2次元電子ガス(2−DEG)層は、第2の半導体層130に接する第1の半導体層120の界面につながる第1の半導体層120の内部にも形成されてもよい。
第2の半導体層130上に第3の半導体層150が形成されている。
第3の半導体層150は、上部に配置されるソース電極161及びドレイン電極162のオーミック接触を改善するためのもので、n型にドープすることができる。第3の半導体層150は、高濃度にドープされたGaAs又はGaNを含むことができる。
第3の半導体層150は、第2の半導体層130の一部を露出させるオープン領域Pを有する。オープン領域Pにおいて第2の半導体層130上にゲート電極163が配置され、オープンされていない第3の半導体層150の上部には、ゲート電極163を挟んで互いに離れてソース電極161とドレイン電極162とが配置されている。ゲート電極163は第2の半導体層130に接している。
図3にはオープン領域Pを形成する第3の半導体層150の側壁が傾斜面になっているが、これに限定されず、オープン領域Pの形成に用いられるエッチング方法によって垂直面になってもよい。
ソース電極161とドレイン電極162とはオーミック接触をするもので、アルミニウム(Al)、チタン(Ti)、クロム(Cr)、ニッケル(Ni)、銅(Cu)、金(Au)の少なくとも1種により単層又は多層構造に形成されているが、これに限定されない。ソース電極161とドレイン電極162とのオーミック接触特性を改善するために、ソース電極161及びドレイン電極162の下部に位置する第2の半導体層130及び第1の半導体層120の対応部分を高濃度にドープすることができる。
ゲート電極163はショットキー接触をし、白金(Pt)、モリブデン(Mo)、チタン(Ti)、金(Au)、パラジウム(Pd)、タングステン(W)、ニッケル(Ni)、イリジウム(Ir)又はオスミウム(Os)の少なくとも1種により単層又は多層構造に形成されているが、これに限定されない。
第3の半導体層150のオープン領域Pを通してゲート電極163と第2の半導体層130とが構造的に連結される。
オープン領域Pの幅Wは、ゲート電極163の長さ(Gate Length)Gに対応している。すなわち、第3の半導体層150により露出された第2の半導体層130の幅がゲート電極163の長さGと一致している。図3には、オープン領域Pの幅Wがゲート電極163の長さGLと一致する例を示しているが、工程マージンを考慮してオープン領域Pの幅Wをゲート電極163の長さGよりもやや広くしてもよい。
第2の半導体層130の上面は、オープン領域Pにより露出される部分以外は第3の半導体層150で覆われており、オープン領域Pにより露出された部分はゲート電極163で覆われている。
ゲート電極163とドレイン電極162との間の第3の半導体層150には電気的分離領域(Electrical Segregation region)150aが形成されている。実施例によって、電気的分離領域150aは、イオン注入(Ion Implanting)により形成されるイオン注入領域であってもよい。
図3では、電気的分離領域150aの一側面が、ゲート電極163に向かい合うドレイン電極162の一側端と面一になっているが、これは例示に過ぎず、電気的分離領域150aは、ゲート電極163とドレイン電極162との間に配置されていればよく、実施例によってドレイン電極162に隣接して配置されていてもよい。
電気的分離領域150aは、ゲート電極163と電気的分離領域150aとの間における第3の半導体層150の部分と、電気的分離領域150aとドレイン電極162との間における第3の半導体層150の部分とを電気的に分離する役割を果たす。
言い換えると、第3の半導体層150は、ゲート電極163に隣接した第1の領域150−1、ドレイン電極162に隣接した第2の領域150−2、及び第1の領域150−1と第2の領域150−2との間における第3の領域150−3を有し、該第3の領域150−3は、第1の領域150−1と第2の領域150−2とを電気的に分離させる。
第3の半導体層150の第3の領域150−3は電気的分離領域150aであり、実施例によって、イオン注入により形成されるイオン注入領域であってもよい。
電気的分離領域150aに注入されるイオンは、第3の半導体層150の導電型と異なるタイプを有するイオンでよい。第3の半導体層150が第1の導電型であるから、電気的分離領域150aに注入されるイオンは第2の導電型とすることができる。一例として、第3の半導体層150がn型であるとすると、電気的分離領域150aにはp型のドーパントを注入して第3の半導体層150を電気的に開放することができ、例えば、Mg、Zn、Ca、Sr、Baなどを注入することができる。又は、電気的分離領域150aにFe、Mg、Arなどのドーパントを注入して第3の半導体層150の結晶性を破壊することによって第3の半導体層150を電気的に開放してもよい。第3の半導体層150の第1の領域150−1、第3の領域150−3及び第2の領域150−2はゲート電極163からドレイン電極162の方向に順に配列される。第3の領域150−3の一側面は、ゲート電極163に向かい合うドレイン電極162の一側端と面一にしてもよい。この場合、第2の領域150−2はドレイン電極162の幅に対応して位置するようになる。
実施例によれば、ゲート電極163が配置されるオープン領域P以外の第2の半導体層130は第3の半導体層150で覆われるので、図2と関連して上述した構造と同様に、優れたDC特性を示す。また、ゲート電極163とドレイン電極162との間における第3の半導体層150に電気的分離領域150aを形成すると、実質的なゲート−ドレイン電極間の距離が増加してゲートとドレイン間のキャパシタンス(Cgd)が減少するので、図2と関連して上述した構造とは違い、優れたDC特性及び優れたRF特性を同時に有することができる。電気的分離領域150aがドレイン電極162に近接して配置されるほど、その分実質的なゲート−ドレイン電極間の距離が増加するので、RF特性をより向上させることができる。
第3の半導体層150に配置される電気的分離領域150aの厚さは、第3の半導体層150の全体膜厚と同一にすることができる。
第3の半導体層150の上部にはパシベーション層170を形成することができる。パシベーション層170は、物理的衝撃や化学的汚染から素子を保護するもので、シリコン窒化物又はシリコン酸化物で形成することができる。
パシベーション層170で覆われていないソース電極161とドレイン電極170の部分はグラウンドと外部電源にそれぞれ接続させ、図示しないが、ゲート電極163に接続しているゲートパッド(図示せず)は外部電源に接続させることができる。
図4は、第2の実施例に係る電力半導体素子の側断面図である。上述した実施例と重複する内容については説明を省略し、以下では相違点を中心に説明する。
図4を参照すると、第2の実施例に係る電力半導体素子100Bは、基板110、第1の半導体層120、第2の半導体層130、第3の半導体層150、ソース電極161、ドレイン電極162及びゲート電極163を備えている。
第1の半導体層120と第2の半導体層130とのエネルギーバンドキャップの差によって接合界面においてエネルギーバンドの不連続が発生し、第1の半導体層120と第2の半導体層130との格子定数差により分極が発生することで、2次元電子ガス(2−Dimensional Electron Gas:2−DEG)層が形成される。該2次元電子ガス(2−DEG)層は、第2の半導体層130と接する第1の半導体層120の界面に形成され、チャンネル層122の役割を果たすことができる。
第3の半導体層150は、第2の半導体層130の一部を露出させるオープン領域Pを有する。このオープン領域Pにおいて第2の半導体層130上にゲート電極163が配置され、オープンされていない第3の半導体層150の上部には、ゲート電極163を挟んで互いに離れてソース電極161及びドレイン電極162が配置される。
第3の半導体層150のオープン領域Pを通してゲート電極163と第2の半導体層130とが構造的に連結される。
オープン領域Pの幅Wは、ゲート電極163の長さ(Gate Length:G)に対応している。すなわち、第3の半導体層150により露出された第2の半導体層130の幅がゲート電極163の長さGと一致している。図4には、オープン領域Pの幅Wがゲート電極163の長さGLと一致する例を示しているが、工程マージンを考慮してオープン領域Pの幅Wをゲート電極163の長さGよりもやや広くしてもよい。
ゲート電極163とドレイン電極162との間の第3の半導体層150には電気的分離領域150aが形成されている。実施例によって、電気的分離領域150aは、イオン注入(Ion Implanting)により形成されるイオン注入領域であってもよい。電気的分離領域150aは、ゲート電極163とドレイン電極162との間に配置されていればよく、実施例によってドレイン電極162に隣接して配置されてもよい。
電気的分離領域150aは、ゲート電極163と電気的分離領域150aとの間における第3の半導体層150の部分と、電気的分離領域150aとドレイン電極162との間における第3の半導体層150の部分とを電気的に分離する役割を果たす。
言い換えると、第3の半導体層150は、ゲート電極163に隣接した第1の領域150−1、ドレイン電極162に隣接した第2の領域150−2、及び第1の領域150−1と第2の領域150−2との間の第3の領域150−3を有し、該第3の領域150−3は、第1の領域150−1と第2の領域150−2とを電気的に分離させる。
第3の半導体層150の第3の領域150−3は電気的分離領域150aであり、実施例によって、イオン注入により形成されるイオン注入領域であってもよい。
第3の半導体層150が第1の導電型であるから、電気的分離領域150aに注入されるイオンは第2の導電型とすることができる。一例として、第3の半導体層150がn型であるとすると、電気的分離領域150aにはp型のドーパントを注入して第3の半導体層150を電気的に開放することができ、例えば、Mg、Zn、Ca、Sr、Baなどを注入することができる。又は、電気的分離領域150aにFe、Mg、Arなどのドーパントを注入して第3の半導体層150の結晶性を破壊することによって第3の半導体層150を電気的に開放してもよい。
第3の半導体層150の第1の領域150−1、第3の領域150−3及び第2の領域150−2はゲート電極163からドレイン電極162の方向に順に配列される。
第3の領域150−3の一側面は、ゲート電極163に向かい合うドレイン電極162の一側端と面一にしてもよい。この場合、第2の領域150−2はドレイン電極162の幅に対応して位置するようになる。
第3の半導体層150に配置される電気的分離領域150aの厚さは、第3の半導体層150の全体膜厚と同一にすることができる。
電気的分離領域150aは、第3の半導体層150から第2の半導体層130の一部にまでわたって配置されてもよい。
電気的分離領域150aがチャンネル層122に影響を与えてはならず、よって、電気的分離領域150aは第2の半導体層130の少なくとも一部を挟んでチャンネル層122と離隔していなければならない。すなわち、第2の半導体層130に接する第1の半導体層120の界面にチャンネル層122が形成され、このチャンネル層122から離れて電気的分離領域150aが形成される。そのため、第2の半導体層130の一部に延びて形成された電気的分離領域150aの部分の厚さHは第2の半導体層130の膜厚Hよりも小さくし、信頼性を考慮して、第2の半導体層130膜厚Hの最大70%まで電気的分離領域150aを第2の半導体層130の内部に延びて形成することが好ましい。
第2の半導体層130の一部に延びて形成された電気的分離領域150aの部分はLDD(Lightly Doped Drain)領域の役割を担うことができる。
図5乃至図7は、図3又は図4のA部分を拡大して示す図である。
まず、図5を参照すると、ゲート電極163は、第2の半導体層130に連結された部分の幅、すなわち、ゲート長さGが、第2の半導体層130に連結された部分と反対側の部分の幅Wよりも狭くてもよい。例えば、ゲート電極163はT状のゲート電極又は茸状のゲート電極であってもよい。
高速半導体素子はゲート長が短いほど優れた変調動作特性を示すが、ゲート長の減少はゲートの断面積の減少につながり、ゲートの抵抗(R)が高くなる。そこで、T状のゲート電極又は茸状のゲート電極とすることによって、ゲートの長さを削減すると同時に断面積を増加させ、抵抗(R)を低減させることができる。
図5にはT状のゲート電極163を示しているが、ゲート長Gが短いと同時に断面積が広いその他のゲート電極構造を用いてもよい。
図6を参照すると、第2の半導体層130はリセス部130Rを有し、このリセス部130Rにゲート電極163が配置されてもよい。
リセス部130Rは、第3の半導体層150に接する第2の半導体層130の表面から第1の半導体層120の方向に凹状に形成され、第3の半導体層150のオープン領域Pに対応して形成されている。すなわち、第3の半導体層150により露出された第2の半導体層130の領域に対応するようにリセス部130Rを形成することができる。
リセス部130Rが形成された部分の第2の半導体層130の膜厚は、その他の第2の半導体層130の膜厚よりも薄くなっている。第2の半導体層130の膜厚は、動作モードを決定したりピンチオフ電圧を制御する上で極めて重要な要素である。そのため、リセス部130Rの深さによって第2の半導体層130の膜厚を調節することによって素子の特性を調節することができる。一般に、HEMTは空乏モード(Depletion Mode)で動作するので、リセス部130Rを形成して閾電圧を調節することによって増加モード(Enhancement Mode)で動作する電力半導体素子を作製することもできる。
図7を参照すると、ゲート電極163と第2の半導体層130との間にゲート絶縁膜180が配置されてもよい。このゲート絶縁膜180は図5又は図6の例示にも適用可能である。ゲート絶縁膜180の幅はゲート電極163の長さと同一にすることができる。
図8乃至図10は、以上の実施例に係る電力半導体素子を作製する方法の一例を示す図である。以下、図8乃至図10を参照して、電力半導体素子の作製過程を説明する。
まず、図8を参照すると、基板110上に格子定数の不整合を緩和するための遷移層115を成長させた後、第1の半導体層120及び第2の半導体層130を有する異種接合構造物140と第3の半導体層150を成長させる。
遷移層115、第1の半導体層120、第2の半導体層130及び第3の半導体層150は、MOCVD(Metal Organic Chemical Vapor Deposition)、MBE(Molecular Beam Epitaxy)又はVPE(Vapor Phase Epitaxy)などの方式で成長されるが、これに限定するわけではない。
そして、隣接した電力半導体素子同士を電気的に分離するために、メサエッチング工程を行う。図3及び図4では、図面の簡素化のために、メサエッチングされた部分の図示を省略したが、第1の半導体層120に比べて第2の半導体層130の幅をより狭くする。又は、第1の半導体層120において第2の半導体層130に隣接した部分の幅を基板110に隣接した部分の幅よりも小さくてしてもよい。
メサエッチング工程には湿式エッチング又は乾式エッチング方法を用いるが、GaN基盤の異種接合構造において、GaNの高い結合エネルギーによって湿式エッチング方法ではエッチング率が低いため、ICP−RIE、ECRプラズマエッチングなどの乾式エッチング方法を用いるとよい。
メサエッチング工程により素子同士が電気的に分離されると、第3の半導体層150の上部にソース電極161及びドレイン電極162を形成する。ソース電極161及びドレイン電極162は、フォトレジスト(PR)を用いたフォトリソグラフィ工程及びイー・ビーム蒸着工程(E−beam Evaporation)により形成されるが、これに限定されない。
そして、図9を参照すると、エッチング工程により第3の半導体層150にオープン領域Pを形成した後、ゲート電極163を形成する。
ゲート電極163も同様、フォトレジストPRを用いたフォトリソグラフィ工程及びイー・ビーム蒸着工程により形成されるとよく、T状のゲートパターンにする場合は、例えば、PMMA/PMMA−MMA/PMMAの3層フォトレジストパターンを用いることができる。
ゲート電極163を形成した後、ゲート電極163とドレイン電極162との間の第3の半導体層150の部分に電気的分離領域150aを形成する。
電気的分離領域150aは、該当の領域を露出させるマスクパターンを形成した後、イオン注入加速器などを用いて矢印方向にイオンを注入することによって形成することができる。このとき、イオンの種類と注入エネルギーを調節することによって電気的分離領域150aの深さを調節することができる。
そして、図10を参照すると、グラウンド又は外部電源に接続する部分以外の、第3の半導体層150の上部及びメサエッチングにより露出された異種接合構造物140の側面にパシベーション層170を形成する。
上述した電力半導体素子の作製方法は例示に過ぎず、詳細な工程の順序や方法などは実施例によって種々変更可能である。
上記の実施例では、ゲート電極163の上面の高さをソース電極161又はドレイン電極162の上面の高さよりも低く示したが、工程方法又はデザインによってゲート電極163、ソース電極161、ドレイン電極162の上面の高さは変更してもよい。
図11は、実施例に係る電力半導体素子のRF特性を説明するためのグラフである。
図11には、電力半導体素子のソース電極からゲート電極及びドレイン電極までの位置による電界の大きさが示されている。同図で、比較例1は、図1と関連して上述したような第1のゲート−リセス構造(wide−recess)を有する従来のHEMT素子であり、比較例2は、図2と関連して上述したような第2のゲート−リセス構造(narrow−recess)を有する従来のHEMT素子である。
比較例1は、ゲート電極からドレイン電極までの全体領域にわたって電界が線形的に増加するのに対し、比較例2は、ゲート電極の隣接領域で電界が急増してから飽和することが確認できる。
比較例2のような電界プロファイルはゲート−ドレイン電極間の実質的な距離を減少させるため、Cgd値が増加する。Cgd値の増加は、最大共振周波数Fmax値を減少させ、RF特性の低下を招く。
一方、実施例では、第3の半導体層がゲート電極の近傍にまで形成されているが、ゲート電極とドレイン電極との間の電気的分離領域により実質的なゲート−ドレイン電極間の距離を増加させてCgd値を減少させることによって比較例1の特長を取り、結果として優れたRF特性を示すことができる。
図12は、実施例に係る電力半導体素子のDC特性を説明するためにIdss,maxとGm,maxを示したグラフであり、図13は、実施例に係る電力半導体素子のRF特性を説明するためにFとFmaxを示したグラフである。
ここで、比較例1は、図1と関連して上述したような第1のゲート−リセス構造(wide−recess)を有する従来のHEMT素子であり、比較例2は、図2と関連して上述したような第2のゲート−リセス構造(narrow−recess)を有する従来のHEMT素子である。
図12及び図13を参照すると、実施例に係る電力半導体素子は、高いドレイン電流Idss,maxとトランスコンダクタンスGm,max値を有することから、比較例2の長所を取って優れたDC特性を示すことがわかり、高い遮断周波数Fと最大共振周波数Fmax値を有することから、比較例1の長所を取って優れたRF特性を示すことがわかる。特に、図13から、RF特性が比較例1に比べて一層改善されたことが確認できる。
以上では実施例を中心に説明してきたが、これは単なる例示であり、それらの実施例に限定されず、本発明の属する分野における通常の知識を有する者には、実施例の本質的な特性から逸脱しない範囲で様々な変形及び応用が可能であるということが理解されるであろう。例えば、実施例に具体的に示した各構成要素は変形実施が可能である。そして、それらの変形及び応用に係る差異点は、添付の特許請求の範囲で規定する本発明の範囲に含まれるものと解釈しなければならない。
100A,100B 電力半導体素子
110 基板
115 遷移層
120 第1の半導体層
130 第2の半導体層
140 異種接合構造
150 第3の半導体層
150a 電気的分離領域
161 ソース電極
162 ドレイン電極
163 ゲート電極
170 パシベーション層

Claims (19)

  1. 基板と、
    前記基板上の第1の半導体層と、
    前記第1の半導体層上の第2の半導体層と、
    前記第2の半導体層上に設けられ、前記第2の半導体層の一部を露出させる第3の半導体層と、
    前記第3の半導体層を通して露出された前記第2の半導体層上のゲート電極と、
    前記第3の半導体層上において前記ゲート電極を挟んで互いに離れて設けられたソース電極及びドレイン電極と、
    を備え、
    前記ゲート電極と前記ドレイン電極との間の第3の半導体層に電気的分離領域が設けられており
    前記電気的分離領域が前記ドレイン電極に隣接している、電力半導体素子。
  2. 前記電気的分離領域は、前記第3の半導体層から前記第2の半導体層の一部まで延びている、請求項1に記載の電力半導体素子。
  3. 前記電気的分離領域に注入されるイオンは、前記第3の半導体層の導電型と異なるタイプの導電型を有する、請求項1又はに記載の電力半導体素子。
  4. 前記第2の半導体層と接する前記第1の半導体層の界面にチャンネル層が設けられており、前記電気的分離領域は前記チャンネル層と離れている、請求項1乃至3のいずれかに記載の電力半導体素子。
  5. 前記電気的分離領域は、Mg、Zn、Ca、Sr、Ba、Fe又はArのいずれか1種を含む、請求項1乃至4のいずれかに記載の電力半導体素子。
  6. 前記ゲート電極は前記第2の半導体層に接する、請求項1乃至5のいずれかに記載の電力半導体素子。
  7. 前記ゲート電極は、前記第2の半導体層に連結された部分の幅が、前記第2の半導体層に連結された部分と反対側の部分の幅よりも狭い、請求項1乃至6のいずれかに記載の電力半導体素子。
  8. 前記第3の半導体層により露出された前記第2の半導体層の幅は、前記ゲート電極の長さに対応する、請求項1乃至7のいずれかに記載の電力半導体素子。
  9. 前記第2の半導体層はリセス部を有し、前記ゲート電極は、前記リセス部に設けられている、請求項1乃至8のいずれかに記載の電力半導体素子。
  10. 前記第2の半導体層に設けられた電気的分離領域の厚さは、前記第2の半導体層全体の膜厚よりも小さい、請求項2又は4に記載の電力半導体素子。
  11. 前記リセス部は、前記第3の半導体層により露出された第2の半導体層の領域に対応する、請求項に記載の電力半導体素子。
  12. 前記第3の半導体層の上部にパシベーション層が設けられている、請求項1乃至11のいずれかに記載の電力半導体素子。
  13. ソース電極、ドレイン電極、及び前記ソース電極と前記ドレイン電極との間のゲート電極と、
    前記ソース電極及び前記ドレイン電極の下部に設けられており、且つ前記ゲート電極の長さに対応する幅を有するオープン領域を有している第3の半導体層と、
    前記第3の半導体層の下部に設けられており、前記オープン領域を通して前記ゲート電極と連結された第2の半導体層と、
    前記第2の半導体層の下部に設けられた第1の半導体層と、
    を備え、
    前記第3の半導体層は、前記ゲート電極に隣接した第1の領域、前記ドレイン電極に隣接した第2の領域、及び前記第1の領域と前記第2の領域との間の第3の領域を有し、前記第3の領域は、前記第1の領域と前記第2の領域とを電気的に分離させる、電力半導体素子。
  14. 前記第1の半導体層の下部に基板をさらに備え、該基板と前記第1の半導体層との間に遷移層が配置されている、請求項13に記載の電力半導体素子。
  15. 前記第2の半導体層はリセス部を有し、前記ゲート電極は、前記リセス部に設けられている、請求項13又は14に記載の電力半導体素子。
  16. 前記第3の半導体層によって露出された前記第2の半導体層の幅は、前記ゲート電極の長さよりも大きくなっている、請求項1乃至15のいずれかに記載の電力半導体素子。
  17. 前記第2の半導体層と接する前記第1の半導体層の界面にチャンネル層が設けられており、
    前記第2の半導体層の少なくとも一部は、前記電気的分離領域と前記チャンネル層との間に配置されている、請求項1乃至16のいずれかに記載の電力半導体素子。
  18. 前記電気的分離領域は、前記第2の半導体層の厚さの最大70%まで前記第2の半導体層の内部に延びて配置されている、請求項1乃至17のいずれかに記載の電力半導体素子。
  19. 前記ゲート電極と前記第2の半導体層との間に配置されたゲート絶縁膜をさらに備えている、請求項1乃至18のいずれかに記載の電力半導体素子。
JP2013082669A 2012-11-08 2013-04-11 電力半導体素子 Active JP6228747B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120125842A KR102024290B1 (ko) 2012-11-08 2012-11-08 전력 반도체 소자
KR10-2012-0125842 2012-11-08

Publications (3)

Publication Number Publication Date
JP2014096559A JP2014096559A (ja) 2014-05-22
JP2014096559A5 JP2014096559A5 (ja) 2016-06-02
JP6228747B2 true JP6228747B2 (ja) 2017-11-08

Family

ID=48917442

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013082669A Active JP6228747B2 (ja) 2012-11-08 2013-04-11 電力半導体素子

Country Status (5)

Country Link
US (1) US8723228B1 (ja)
EP (1) EP2731143A3 (ja)
JP (1) JP6228747B2 (ja)
KR (1) KR102024290B1 (ja)
CN (1) CN103811541B (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104282735A (zh) * 2014-09-17 2015-01-14 电子科技大学 一种具有负离子注入钝化层的场效应晶体管
ITUB20155862A1 (it) 2015-11-24 2017-05-24 St Microelectronics Srl Transistore di tipo normalmente spento con ridotta resistenza in stato acceso e relativo metodo di fabbricazione
CN105826370A (zh) * 2016-05-25 2016-08-03 深圳市华讯方舟科技有限公司 晶体管
US11476359B2 (en) * 2019-03-18 2022-10-18 Wolfspeed, Inc. Structures for reducing electron concentration and process for reducing electron concentration
US11888051B2 (en) * 2020-05-08 2024-01-30 Globalfoundries Singapore Pte. Ltd. Structures for a high-electron-mobility transistor and related methods
CN115224124A (zh) 2021-04-20 2022-10-21 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936134A (ja) * 1995-07-25 1997-02-07 Matsushita Electron Corp 半導体装置及びその製造方法
JP3206520B2 (ja) * 1997-10-06 2001-09-10 日本電気株式会社 半導体記憶装置とその製造方法
JP3534624B2 (ja) * 1998-05-01 2004-06-07 沖電気工業株式会社 半導体装置の製造方法
JP2007194588A (ja) * 2005-12-20 2007-08-02 Sony Corp 電界効果トランジスタ及びこの電界効果トランジスタを備えた半導体装置並びに半導体装置の製造方法
JP2007311684A (ja) * 2006-05-22 2007-11-29 Mitsubishi Electric Corp 電界効果型トランジスタ
US8120066B2 (en) * 2006-10-04 2012-02-21 Selex Sistemi Integrati S.P.A. Single voltage supply pseudomorphic high electron mobility transistor (PHEMT) power device and process for manufacturing the same
CN101604704B (zh) * 2008-06-13 2012-09-05 西安能讯微电子有限公司 Hemt器件及其制造方法
JP2010135640A (ja) * 2008-12-05 2010-06-17 Panasonic Corp 電界効果トランジスタ
DE102010016993A1 (de) * 2010-05-18 2011-11-24 United Monolithic Semiconductors Gmbh Halbleiter-Bauelement
JP5730505B2 (ja) * 2010-06-23 2015-06-10 富士通株式会社 化合物半導体装置
JP2012054471A (ja) * 2010-09-02 2012-03-15 Fujitsu Ltd 半導体装置及びその製造方法、電源装置
JP5685917B2 (ja) * 2010-12-10 2015-03-18 富士通株式会社 半導体装置及び半導体装置の製造方法
JP5866766B2 (ja) * 2011-02-10 2016-02-17 富士通株式会社 化合物半導体装置及びその製造方法

Also Published As

Publication number Publication date
KR20140059410A (ko) 2014-05-16
KR102024290B1 (ko) 2019-11-04
US8723228B1 (en) 2014-05-13
CN103811541B (zh) 2019-01-15
US20140124836A1 (en) 2014-05-08
EP2731143A3 (en) 2017-08-23
CN103811541A (zh) 2014-05-21
JP2014096559A (ja) 2014-05-22
EP2731143A2 (en) 2014-05-14

Similar Documents

Publication Publication Date Title
US7750369B2 (en) Nitride semiconductor device
JP6362248B2 (ja) Mishfetおよびショットキーデバイスの統合
JP5519930B2 (ja) ゲート−ソースフィールドプレートを含むワイドバンドギャップトランジスタ
US20210343839A1 (en) Semiconductor device and method for manufacturing the same
US8405125B2 (en) Semiconductor device and method for producing the same
JP6228747B2 (ja) 電力半導体素子
JP2007505501A (ja) フィールドプレートを有するワイドバンドギャップトランジスタデバイス
JP2012231106A (ja) 窒化物半導体素子及びその製造方法
CN111199883B (zh) 具有经调整的栅极-源极距离的hemt晶体管及其制造方法
JP2007142243A (ja) 窒化物半導体電界効果トランジスタ及びその製造方法
US20220376074A1 (en) Nitride-based semiconductor device and method for manufacturing the same
US20240162313A1 (en) High electron mobility transistor and method for forming the same
US20240072153A1 (en) High electron mobility transistor and method for forming the same
CN113228297A (zh) 半导体器件及其制造方法
US20240038852A1 (en) Semiconductor device and method for manufacturing the same
US20240030309A1 (en) Nitride-based semiconductor device and method for manufacturing the same
US20240021703A1 (en) High electron mobility transistor and method for forming the same
WO2023141749A1 (en) GaN-BASED SEMICONDUCTOR DEVICE WITH REDUCED LEAKAGE CURRENT AND METHOD FOR MANUFACTURING THE SAME
US20230343864A1 (en) Nitride-based semiconductor device and method for manufacturing the same
JP2015119028A (ja) 半導体装置、電界効果トランジスタ、およびダイオード
US20230326981A1 (en) Semiconductor device and manufacturing method thereof
US10424659B1 (en) High electron mobility transistor
US20240055508A1 (en) Semiconductor device and method for manufacturing the same
JP4102724B2 (ja) Mesfetおよびその製造方法
KR20220013870A (ko) 고전자 이동도 트랜지스터 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160408

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160408

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171016

R150 Certificate of patent or registration of utility model

Ref document number: 6228747

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250