JP6158199B2 - 水蒸気処理を使用して基板から材料層を除去する方法 - Google Patents

水蒸気処理を使用して基板から材料層を除去する方法 Download PDF

Info

Publication number
JP6158199B2
JP6158199B2 JP2014541148A JP2014541148A JP6158199B2 JP 6158199 B2 JP6158199 B2 JP 6158199B2 JP 2014541148 A JP2014541148 A JP 2014541148A JP 2014541148 A JP2014541148 A JP 2014541148A JP 6158199 B2 JP6158199 B2 JP 6158199B2
Authority
JP
Japan
Prior art keywords
substrate
water vapor
layer
chamber
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014541148A
Other languages
English (en)
Other versions
JP2015504239A5 (ja
JP2015504239A (ja
Inventor
クァンド ダグラス リー,
クァンド ダグラス リー,
スダ ラティ,
スダ ラティ,
チーウ チャン,
チーウ チャン,
マーティン ジェー. シーモンズ,
マーティン ジェー. シーモンズ,
ボク ホーエン キム,
ボク ホーエン キム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015504239A publication Critical patent/JP2015504239A/ja
Publication of JP2015504239A5 publication Critical patent/JP2015504239A5/ja
Application granted granted Critical
Publication of JP6158199B2 publication Critical patent/JP6158199B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

本発明の実施形態は一般に、半導体基板から材料層を除去する方法に関し、より詳細には、水蒸気プラズマ処理を使用して半導体基板からホウ素−炭素層を除去する方法に関する。
集積回路および半導体デバイスの相互接続構造体は通常、誘電体材料によって分離された導電層の3次元網を形成するために、一連の誘電体層および導電層を形成することによって製造される。この相互接続構造体は例えば、1つまたは複数の導電性のプラグ(plug)または下層の上に低誘電率(low k)誘電体層などの誘電体層が形成されたダマシン構造を使用して製造することができる。導電性の下層に対する電気接続を形成するため、誘電体をパターニングおよびエッチングして、誘電体を貫通するビア開口を画定する。誘電体層に開口を形成するときには、フィーチャ(feature)および/または開口を誘電体層内に転写するのを支援するためにしばしば、フォトレジスト層またはハードマスク層が使用される。誘電体層内に開口を形成することによって導電線の一部を露出させる。したがって、これらの相互接続フィーチャが高い信頼性で形成されることは、個々の基板上およびそれぞれのダイにおいてに形成されたデバイスの品質、性能および信頼性を保証するための重要な因子である。
集積回路および半導体デバイスの市場は、より高速な回路およびより高い回路密度、例えば単一のチップ上に数百万個の構成要素を含む回路密度を絶えず求めている。その結果、集積回路構成要素の寸法は縮小し、そのような構成要素を製造するために使用される材料の選択はますます重要になっている。例えば、集積回路上の構成要素間の導電経路を提供する銅、アルミニウムなどの低抵抗率金属相互接続では現在、隣接する金属線間の容量結合を低減させる絶縁金属間層を提供し、それによって同じ線幅で信頼性の高い性能を可能にするために、それらの金属相互接続間の低誘電率層、例えば誘電率が≦4である低誘電率層が必要である。
誘電体層として従来使用されている低誘電率材料には、数ある材料の中で、基板上に膜として堆積させたドープされていないシリコンガラス(un−doped silicon glass:USG)、フッ素がドープされたシリコンガラス(fluorine−doped silicon glass:FSG)、炭素がドープされた二酸化ケイ素(carbon doped silicon dioxide)(SiOC)およびポリテトラフルオロエチレンがある。エッチングによって画定された誘電体層上に導電層を形成する前に、エッチングプロセスおよび/またはアッシングプロセスに起因する自然酸化物および/または有機物などの残留汚染物質を除去するため、誘電体膜の上面を洗浄することが望ましい。汚染物質を除去すると、堆積させる導電層の界面における接触抵抗が低減し、かつ/または接着損失(adhesion loss)が防止される。
導電層を堆積させる前に誘電体膜の表面から汚染物質を除去するために、前洗浄手順が使用されることがある。しかしながら、誘電体層を前洗浄するために使用される湿式洗浄プロセスなどの従来の洗浄プロセスまたは他のプロセスは、誘電体膜表面を傷つけもしくは再スパッタリングし、または後続の導電層堆積の前にプロセスチャンバ内に不必要な荷電粒子を発生させることがある。そのため、従来の技法を使用することによって洗浄された低誘電率誘電体膜は、その結果として膜劣化および欠陥を有することがある。さらに、炭素がドープされた低誘電率材料は、洗浄手順で使用されるプラズマにさらされた後に、低誘電率材料の誘電率が増大するカーボンデプリーション(carbon depletion)または「kロス(k loss)」を経験する傾向がある。その結果、洗浄手順後は、望ましくない漏話およびRC遅延の問題がより大きくなる。
さらに、フィーチャおよび/または開口を誘電体層内に転写するために利用されるフォトレジスト層および/またはハードマスク層向けに、その下の誘電体層を傷つけることがないように、または不利な膜劣化および誘電体層内の欠陥が生じないようにフォトレジスト層および/またはハードマスク層を洗浄しまたは除去する適当な除去プロセスが提供されることが望ましい。例えば、ホウ素−炭素層などのホウ素−炭素膜は、エッチングプロセス中にハードマスクとして使用されたときに、アモルファスカーボンに比べて優れたパターニング性能を示す。しかしながら、ホウ素−炭素膜は容易には剥がれない。過度に攻撃的なプラズマ除去プロセスを使用してホウ素炭素膜を除去すると、半導体基板上にも一般的に見られる誘電体材料、露出した金属表面または埋め込まれた金属が腐食し、それによって望ましくないデバイス構造の劣化およびデバイス性能の不足が生じることがある。
さらに、次世代デバイスのために回路密度が増大するにつれて、ビア、トレンチ、開口、コンタクト、ゲート構造体および他のフィーチャなどの相互接続の幅、ならびにそれらの間の誘電体材料の幅は寸法45nmおよび32nmに低下するが、誘電体層の厚さは実質的に一定のままであり、その結果、深いコンタクト構造体、複雑な高誘電率(high−k)金属ゲート膜スタックおよび/または3次元メモリスタックなどのフィーチャのアスペクト比が増大する。伝統的な多くの洗浄プロセスは、アスペクト比が4:1よりも大きいまたは普通とは異なる幾何形状を有する1ミクロン未満の構造体から残留物を除去するのが不得手である。
したがって、基板表面を除去しまたは洗浄する改良された方法が求められている。
本発明の実施形態は一般に、水蒸気プラズマ処理を使用して、残留物を除去しかつ/または基板表面を洗浄する方法に関する。一実施形態では、基板の表面を洗浄する方法が、基板を処理チャンバ内に配置することを含み、この基板が、基板上に配置され、基板上に開口を形成した誘電体層を有し、この方法がさらに、基板上に配置された誘電体層を、チャンバ内に供給された水蒸気にさらし、水蒸気中にプラズマを形成すること、チャンバ内のプロセス圧力を約1トルから約120トルの間に維持すること、および基板上に形成されたコンタクト構造体を洗浄することを含む。
他の実施形態では、基板表面を洗浄する方法が、その上に誘電体層が配置された基板をチャンバ内に配置すること、この誘電体層を、チャンバ内に供給された水蒸気にさらし、水蒸気中にプラズマを形成すること、約40度未満の濡れ角(wetting angle)を有するように誘電体層の表面を制御すること、および基板から誘電体層を洗浄することを含む。
さらに他の実施形態では、処理チャンバを洗浄する方法が、内部にホウ素−炭素含有残留物が形成された処理チャンバを用意すること、処理チャンバに結合された水蒸気発生装置によって生成された水蒸気を処理チャンバ内に供給し、水蒸気中にプラズマを形成すること、および処理チャンバからホウ素−炭素含有残留物を除去することを含む。
本発明の上記の特徴を詳細に理解することができるように、そのうちのいくつかが添付図面に示された実施形態を参照することによって、上で簡単に概説した発明のより具体的な説明を得ることができる。しかしながら、添付図面は本発明の典型的な実施形態だけを示したものであり、したがって、添付図面を、本発明の範囲を限定するものと考えるべきではないことに留意されたい。等しく有効な別の実施形態を本発明が受け入れる可能性があるためである。
本発明の実施に使用することができる装置の略図である。 本発明の一実施形態に基づく洗浄プロセスのプロセス流れ図である。 ホウ素がドープされた炭素層を含む基板構造体の図2の方法に基づく洗浄の略断面図である。 ホウ素がドープされた炭素層を含む基板構造体の図2の方法に基づく洗浄の略断面図である。 異なる液体前駆体と接触した、異なる濡れ角を有する基板表面の、本発明の一実施形態に基づく断面図である。 異なる液体前駆体と接触した、異なる濡れ角を有する基板表面の、本発明の一実施形態に基づく断面図である。 電界効果トランジスタのコンタクト構造体に高アスペクト比フィーチャが形成された、水蒸気プラズマ処理プロセスをその上で実行することができる複合構造体の断面図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照符号を使用した。特段の言及なしに、1つの実施形態の要素および特徴を別の実施形態に有利に組み込み得ることが企図される。
本発明の実施形態は一般に、材料層がその上に配置された基板表面を水蒸気プラズマ処理を使用して除去し、かつ/または洗浄する方法に関する。一実施形態では、この材料層が炭素を含む層である。他の実施形態では、この材料層がホウ素−炭素を含む層である。さらに他の実施形態では、この材料層を、必要に応じた適当な任意の材料層とすることができる。この方法を使用して、この材料層がその上に配置された基板表面を水蒸気プラズマ処理を使用して除去することができる。この材料層除去プロセスはさらに、この材料層を除去する前に、炭素を含む材料層を除去する任意選択のプロセスを含むことができる。本発明の実施形態は、Applied Materials,Inc.(米カリフォルニア州Santa Clara)から入手可能なProducer(登録商標) SEまたはProducer(登録商標) GTチャンバ内で実施することができる。他の製造業者によって製造されているチャンバを含む他のチャンバが、本明細書に記載された実施形態から利益を生じ得ることが企図される。
図1は、本発明の実施形態に基づく洗浄/除去プロセスを実行する目的に使用することができる基板処理システム132の略図である。本発明を実施する目的に使用することができる基板処理システム132の一例の詳細が、2002年4月2日にSalvador他に対して発行され、本発明の譲受人に譲渡された米国特許第6,364,954号に記載されている。本発明を実施する目的に使用することができるシステムの他の例には、いずれもApplied Materials,Inc.(米カリフォルニア州Santa Clara)から入手可能なCENTURA(登録商標)堆積システムおよびProducer(登録商標) SEまたはProducer(登録商標) GT堆積システムがある。他の製造業者から入手可能な処理システムを含む他の処理システムを、本発明を実施するように適合させ得ることが企図される。
処理システム132は、ガスパネル130およびコントローラ110に結合されたプロセスチャンバ100を含む。プロセスチャンバ100は一般に、内部容積126を画定する頂面124、側面101および底壁122を含む。処理システム132には、プロセスチャンバ100内に画定された内部容積126と流体連通した水蒸気発生(water vapor generating:WVG)システム152が結合されている。WVGシステム152は、OとHの触媒反応によって超高純度の水蒸気を発生させる。あるいは、必要に応じて、水(HO)を蒸発させて直接に水蒸気にすることによって、WVGシステム152が水蒸気を発生させてもよい。一実施形態では、HおよびOがそれぞれ、約1sccmから約30000sccmの範囲の流量でWVGシステム152に流入する。Oに富む水蒸気が必要な実施形態では、Oの流量がHの流量よりも大きくなるように構成され、Hに富む水蒸気が必要な実施形態では、Hの流量がOの流量よりも大きくなるように構成される。所望のH/O濃度が決定された後、同じまたは異なるH/O濃度を有するように流出水蒸気を調整するため、それぞれの流量を比例的に変更することができる。
一実施形態では、WVGシステム152が、化学反応によって水蒸気を発生させる、触媒が内張りされた反応器または触媒カートリッジを有する。この触媒は、パラジウム、白金、ニッケル、これらの混合物、これらの合金などの金属または合金を含むことができる。基板190上に配置された下にある誘電体層に形成された望まれていない汚染物質または純度を洗浄プロセスによって排除するためには、超高純度の水が理想的である。一実施形態では、反応していないHが下流へ流れることを防ぐため、WVGシステム152にOを5秒間流すことができる。次に、Hを5秒間、反応器に入れることができる。HとOの間の触媒反応は瞬時に起こり、そのため、HおよびOが反応器に到達した直後に水蒸気は発生する。HおよびOの流量を調節することによって、1%から100%の濃度までの任意の値に濃度を正確に制御することができる。すなわち、この水蒸気は、水、H、Oまたはこれらの混合物を含むことができる。一例では、水蒸気が水およびOを含む。他の例では、水蒸気が水およびHを含む。同様に、同じガス流量制御法を使用することによって、水蒸気の量を調節することもでき、毎回、正確で再現可能な流量を提供することができる。水蒸気は通常、HおよびOを反応器に流入させることによって発生させるが、Oに加えてまたはOの代わりに、NO、NO、NO、N、H、Oなどの他の酸素源化合物を使用することができる。一実施形態では、必要に応じて、水蒸気を形成するのにHおよびNOが利用される。Fujikin of America,Inc.(米カリフォルニア州Santa Clara)によるWVG、Ultra Clean Technology(米カリフォルニア州Menlo Park)によるCSGS(Catalyst Steam Generator System)など、適当なWVGシステム152は市販されている。WVGシステム152は、任意の濃度の水蒸気を発生させることができる任意の種類の機器とすることもできることに留意されたい。
チャンバ100の内部容積126内には支持ペデスタル150が提供されている。ペデスタル150は、アルミニウム、セラミックおよび他の適当な材料から製造することができる。一実施形態では、ペデスタル150が、ペデスタル150に対する熱損傷を生じさせない、プラズマプロセス環境などの高温環境で使用するのに適した材料である、窒化アルミニウムなどのセラミック材料によって製造される。昇降機構(図示せず)を使用してチャンバ100内でペデスタル150を垂直方向に移動させることができる。
ペデスタル150は、ペデスタル150上に支持された基板190の温度を制御するのに適した埋め込まれたヒータ要素170を含むことができる。一実施形態では、電力供給装置106からヒータ要素170に電流を流すことにより、ペデスタル150が抵抗加熱によって加熱され得る。一実施形態では、ヒータ要素170が、ニッケル−鉄−クロム合金(例えばINCOLOY(登録商標))のシース管(sheath tube)の中に封入されたニッケル−クロムワイヤからなり得る。電力供給装置106から供給される電流は、ヒータ要素170によって生成される熱を制御し、それによって、基板190およびペデスタル150を、膜堆積の間、任意の適当な温度範囲内の実質的に一定の温度に維持するように、コントローラ110によって調節される。他の実施形態では、必要に応じて、ペデスタルが室温に維持され得る。さらに他の実施形態では、必要に応じてペデスタル150を室温よりも低い範囲に冷却するため、ペデスタル150が必要に応じて冷却器(図示せず)をさらに含んでもよい。供給される電流を調整して、ペデスタル150の温度を、摂氏約100度から摂氏約780度の間、例えば摂氏約150度から摂氏約550度の間に選択的に制御することができる。
支持ペデスタル150に、熱電対などの温度センサ172を埋め込んで、ペデスタル150の温度を従来の方法で監視することができる。測定された温度は、コントローラ110によって、加熱要素170に供給される電力を制御して基板を所望の温度に維持するために使用される。
チャンバ100の壁101に形成された孔に真空ポンプ102が結合されている。真空ポンプ102は、プロセスチャンバ100内を所望のガス圧に維持するために使用される。真空ポンプ102はさらに、処理後のガスおよびプロセスの副生物をチャンバ100から排出する。
基板支持ペデスタル150の上方のプロセスチャンバ100の頂面124に、複数の開口部128を有するシャワーヘッド120が結合されている。シャワーヘッド120の開口部128を利用して、チャンバ100内にプロセスガスを導入する。さまざまなプロセス要件に対するさまざまなプロセスガスの流れを容易にするため、開口部128は、さまざまなサイズ、数、分布、形状、設計および直径を有することができる。シャワーヘッド120は、プロセス中にさまざまなガスを内部容積126に供給することを可能にするガスパネル130に接続されている。基板190の表面191への材料の堆積に帰着するプロセスガスの熱分解を増強するため、シャワーヘッド120を出た混合プロセスガスからプラズマが形成される。
シャワーヘッド120と基板支持体ペデスタル150は、内部容積126内に、間隔を置いて配置された一対の電極を形成することができる。1つまたは複数のRF源140が、マッチングネットワーク138を介してシャワーヘッド120にバイアス電位を提供して、シャワーヘッド120とペデスタル150の間におけるプラズマの発生を促進する。あるいは、RF電源140およびマッチングネットワーク138を、シャワーヘッド120もしくは基板ペデスタル150、またはシャワーヘッド120と基板ペデスタル150の両方、あるいはチャンバ100の外部に配置されたアンテナ(図示せず)に結合することできる。一実施形態では、RF源140が、約10ワットから約3000ワットの間の電力を、約30kHzから約13.6MHzの周波数で提供する。
コントローラ110は、プロセスシーケンスを制御し、ガスパネル130およびWVGシステム152からのガスの流れを調節するために利用される中央処理ユニット(CPU)112、メモリ116および支持回路114を含む。CPU112は、工業環境で使用することができる任意の形態の汎用コンピュータ処理装置とすることができる。ランダムアクセスメモリ、リードオンリーメモリ、フロッピー、もしくはハードディスクドライブまたは他の形態のディジタル記憶装置などのメモリ116に、ソフトウェアルーチンを記憶することができる。支持回路114はCPU112に従来通りに結合され、キャッシュ、クロック回路、入力/出力システム、電力供給装置などを含むことができる。処理システム132の制御ユニット110とさまざまな構成要素との間の双方向通信は、集合的に信号バス118と呼ぶ多数の信号ケーブルによって処理される。そのうちのいくつかの信号ケーブルが図1に示されている。
図2は、基板上に配置された材料層を除去する本発明の一実施形態に基づく方法200のプロセス流れ図を示す。図3A〜3Bは、ハードマスク層として使用するために基板上に形成された材料層を方法200に従って除去するシーケンスを示す略断面図である。
方法200は、ステップ202で、図1に記載された処理システム132内に配置された基板190などの基板をプロセスチャンバ内に提供することによって始まる。他の製造業者から入手可能なチャンバを含む他のエッチングチャンバ、堆積チャンバなどの他のプロセスチャンバを利用し得ることが企図される。基板190は、図3Aに示されているように、材料層308がその上に配置されていることがある。基板190は、実質的に平面の表面、平らでない表面、またはその上に構造体が形成された実質的に平面の表面を有することができる。一実施形態では、材料層308が、ゲート構造体、コンタクト構造体、バックエンド相互接続構造体もしくはシャドートレンチ分離(shadow trench isolation:STI)構造体を形成するために利用される膜スタックの一部、高誘電率/金属ゲートスタックの一部、3次元(3D)メモリスタックの一部、あるいは高いアスペクト比、普通とは異なるもしくは困難な幾何形状または小さな寸法を有する任意の適当な構造体の一部であり得る。材料層308が存在しない実施形態では、基板190中に構造体が直接に形成されてもよい。
一実施形態では、材料層308が、Applied Materials,Inc.から入手可能なBLACK DIAMOND(登録商標)誘電体材料などの炭素を含む酸化ケイ素(SiOC)、およびポリアミドなどの他の低誘電率ポリマーであり得る。適当な材料にはこの他、必要に応じて、酸化ケイ素層、シリコン層、酸窒化ケイ素層、窒化ケイ素層、炭化ケイ素層も含まれる。さらに他の実施形態では、材料層308が、半導体デバイスを製造するために利用される他の誘電体材料の1つまたは複数の層を含んでよい。
材料層308を、任意選択のハードマスク層306を利用してパターニングまたはエッチングして、材料層308中に開口304(またはビア、トレンチ、チャネルまたは他の適当な構造体と呼ばれる)を形成することができる。ここで言う用語「開口」には、チャネル、アイランドとアイランドの間、ビア、あらゆる種類の構造体と構造体の間などを含む、何もないエリアがその中に形成されたあらゆる種類の構造体が含まれることに留意されたい。ある種の実施形態では、必要に応じて、ハードマスク層306が、異なるプロセス要件に基づいて排除されてよい。ハードマスク層306は、材料層308のある種の領域がエッチングされることを防ぎ、同時に、その下の基板190の表面310が露出するまでエッチングして開口304を形成するために材料層308の他の領域を露出させる。いくつかの実施形態では、材料層308の中に開口304を形成するのを支援するため、ハードマスク層306上にフォトレジスト層(図示せず)が配置され得る。
一実施形態では、ハードマスク層306が、CVD、PVD、ALD、コーティング、イオン注入などの任意の適当な従来の堆積技法によって形成されたアモルファスカーボン層、炭素を含む層またはホウ素−炭素層である。ホウ素−炭素層はホウ素と炭素の両方を含み、膜のホウ素と炭素の原子比は約1:1から約3:1の範囲にある。
基板を支持体上に配置した後に、ステップ204で、ハードマスク層306上に位置する炭素含有残留物312を除去する。上で論じたとおり、炭素含有残留物312は、ハードマスク層がエッチングハードマスクの役目を果たす以前に実行されたエッチングプロセス中に、ハードマスク層306の上面に生成されたものである。炭素含有残留物312は、ハードマスク層306の側壁316または開口304の底部310に形成され、またはそれらの場所に存在することもあることに留意されたい。このエッチング中に、基板およびその上のホウ素−炭素ハードマスク層306は、基板に所望のパターンをエッチングするために、エッチング剤、例えばCなどのフッ素を含むガスにさらされる。エッチングプロセス中に生じた炭素とフッ素の重合により、このエッチングプロセスは炭素含有残留物を生み出し、その炭素含有残留物は、シリコンおよび/または酸素をさらに含むことがある。炭素含有残留物312は一般に、ホウ素−炭素ハードマスク層306のより効率的な除去を可能にするためハードマスク層除去プロセスの前に除去される。
一実施形態では、フッ素を含むガス、酸素を含むガスまたはこれらの混合物から形成されたプラズマに炭素含有残留物312をさらすことによって、ハードマスク層306の表面から炭素含有残留物312が除去される。例えば、炭素含有残留物は、約100:1の比率の酸素ガスとNFから形成されたプラズマを使用して除去することができる。プラズマ中の望まれるフッ素の量は、炭素含有残留物312中に存在するシリコンの量とともに増大する。
この炭素含有残留物除去プロセスの間、酸素ガスおよびNFガスを使用して離れた位置で生成されたプラズマが、300ミリメートル基板1枚につき約1sccmから約15,000sccm、例えば約100sccmから約5,000sccmの流量でプロセスチャンバに供給される。酸素とNFの比は約100:1から約1000:1である。プロセスチャンバ内の圧力は、約1ミリトルから約760トル、例えば約4ミリトルから約10トルの範囲の圧力に維持され、基板は、750℃よりも低い温度に維持される。この酸素およびNFは炭素含有残留物312と反応して揮発性化合物を形成し、形成された揮発性化合物は次いでプロセスチャンバから排出される。このような条件下で、炭素含有残留物312は、毎分約2,000オングストロームから毎分約10,000オングストロームの速度で除去される。基板の表面からの除去を保証するため、炭素含有残留物312を過剰にエッチングし得ることが企図される。
基板190から炭素含有残留物312を除去した後、ステップ206で、基板190上で水蒸気プラズマ処理プロセスを実行することにより、ハードマスク層306を図3Bに示されているように除去する。このプラズマ処理で生成される水蒸気は、酸化剤(O・)、還元剤(H・)およびヒドロキシル基(OH)を効率的に形成することができる。酸素酸化剤は、ハードマスク層の炭素成分と反応して、チャンバから排出することができる揮発性化合物(例えばCO)を形成することができ、水素還元剤は、ハードマスク層306中にホウ素が存在する場合に、そのホウ素と反応して、続いてチャンバから排出される揮発性化合物(例えばB)を形成することができると考えられる。水蒸気から供給されるヒドロキシル基(OH)およびHO成分は、ヒドロキシル基からの還元剤および酸化剤が、ハードマスク層中へ浸入し、吸収され続け、除去プロセスの継続を強化するのを効率的に支援するように、除去プロセスに加えて表面特性を効率的に変化させることができる。例えば、ヒドロキシル基は、ハードマスク層除去プロセスの間に表面濡れ性能を効率的に変化させることができ、それによって異なる濡れ能力を表面に効率的に提供することができると考えられる。ヒドロキシル基は、ハードマスク層306と反応したときに濡れ角を低減させることができ、それによって膜表面をより親水性にして、基板表面からの炭素成分の除去を支援すると考えられる。親水性の表面は、水蒸気プラズマからの水素イオンまたは酸素イオンがハードマスク層中に浸透するのを支援することができ、それによってハードマスク層を基板表面から効率的に引き離し、除去するのを支援することができる。図4Aおよび4Bに示された実施形態では、第1の液体前駆体402が、図4Aに示されているように、基板表面190に対して高い濡れ角α1(例えば疎水性表面)を有する結果、第1の液体前駆体402は、基板表面190に吸収され、浸透することなく、基板表面190に集積、凝集して、基板表面190に配置された膜層中の成分と反応する。対照的に、図4Bに示されているように、基板表面190に対して低い濡れ角α2(例えば親水性表面)を有する第2の液体前駆体404は、第2の液体前駆体404が、基板190上に配置された膜層と反応し、吸収され、浸透するのを支援し、それによって、基板表面からそのような膜層を除去するように、第2の液体前駆体404と基板表面の間の成分反応を効率的に改良する。したがって、水蒸気プラズマプロセスを使用してハードマスク層306を除去することによって、水蒸気プラズマは、ハードマスク層306の表面を効率的に親水性にすることができ、それによってハードマスク層306の洗浄効率および/または除去効率を効率的に向上させることができる。
一実施形態では、良好な膜除去効率を維持するために、基板表面に対する前駆体の濡れ角(表面濡れ角は例えば、水蒸気プラズマにさらされたときに制御される)が約40度未満、例えば約0度から約40度の間に制御され得る。
さらに、プロセス圧力を、約1トルから約120トルの間などの中間圧力レジーム(median pressure regime)に制御することも、パターンが形成された基板表面をより親水性の表面にすることを支援し、それによってハードマスク層306の洗浄効率および/または除去効率を支援することができるとも考えられる。処理中の中間圧力レジームは、プロセス条件を所望の方法で制御することを支援することができると考えられる。一実施形態では、水蒸気プラズマ処理プロセス中に制御されるプロセス圧力が、1トルから約120トルの間、例えば約20トルから約100トルの間である。水蒸気プラズマ除去がハードマスク層306上で実行される例示的な実施形態では、プロセス圧力が、約40トルから約70トルの間に制御され得る。水蒸気プラズマ処理プロセスが、酸化ケイ素層、例えばコンタクト構造体中の酸化ケイ素層などのシリコンを含む層上で実行される他の例示的な実施形態では、プロセス圧力が、約1トルから約7トルの間に制御され得る。
操作時には、いくつかのプロセスパラメータをさらに制御することができる。一例では、連続モードまたはパルスモードで水蒸気が生成され、プロセスチャンバ内に供給され得る。一実施形態では、連続モードで水蒸気が生成され、約5sccmから約30000sccmの間の流量でプロセスチャンバ内に送られ得る。約5ワットから約5000ワットの間のRF電力をプロセスチャンバ内に供給して、反応のために水蒸気を解離させることができる。チャンバプロセスは、約760トル未満、例えば約1トルから約150トルの間に制御することができる。基板温度は、摂氏約0度から摂氏約760度の間に制御することができる。基板は、約1秒から約36000秒の間、水蒸気プラズマにさらすことができる。
パルスモードでプロセス内に水蒸気が供給される実施形態では、約60秒に1度、約30秒間、水蒸気をプロセスチャンバ内にパルス供給することができる。デューティーサイクルは、必要に応じて、約1パーセントから約100パーセントの間に制御することができる。
さらに、ステップ206で、水蒸気プラズマ処理プロセスを実行している間に、他のさまざまなガス源をプロセスチャンバ内にさらに供給して、反応効率を強化することができる。一例では、水蒸気が、水および追加のOガスを含む。他の例では、水蒸気が、水および追加のHガスを含む。さらに他の実施形態では、水蒸気が、必要に応じて、水ならびに追加のOおよびHガスを含む。水素の添加は、特に炭素に比べてより高い濃度のホウ素を含むホウ素−炭素ハードマスク層306で、ハードマスク層306の除去速度を増大させることが分かっている。ヘリウム、アルゴン、窒素などの他のキャリアガスの添加は、ホウ素−炭素ハードマスク層306の除去速度を低下させるが、同時にエッチング均一性を向上させることが観察されている。他の実施形態では、水蒸気を使用して、アモルファスカーボンなどの実質的にホウ素を含まない炭素膜を除去し得ることが企図される。あるいは、水蒸気を使用して、アモルファスホウ素などの実質的に炭素を含まないホウ素膜を剥がし得ることも企図される。
プラズマ処理プロセスを実行するために水蒸気と一緒に酸素を含むガスをプロセスチャンバ内にさらに供給することができる実施形態では、ステップ206で、O、NO、CO、NO、NOなどの酸素を提供する任意の化合物を使用し、水蒸気と一緒に供給して、ホウ素−炭素ハードマスク層除去プロセスを実行し得ることが企図される。プラズマ処理プロセスを実行するために水蒸気と一緒に水素を含むガスをプロセスチャンバ内にさらに供給することができる実施形態では、ステップ206で、H、NH、Hなどの水素を提供する任意の化合物を使用し得ることが企図される。この水蒸気プラズマ処理プロセスで除去されるターゲット材料はハードマスク層だけではなく、必要に応じて、コンタクト構造体、金属ゲート構造体、金属コンタクト構造体などの半導体デバイスの構造体上に残っているエッチング中に形成され得る他の全てのエッチング残留物も、この水蒸気プラズマ処理プロセスを使用して除去または洗浄することができることに留意されたい。
一実施形態では、Hを含む酸素と水素の不定比混合物(non−stoichiometric combination)(例えばH。xおよびyは、1よりも大きい整数または非整数とすることができる)を、WVGシステム152に導入し、またはWVGシステム152によって発生し得ることが企図される。このような一実施形態では、WVGシステム152によって過酸化水素を発生させ得る。他の実施形態では、必要に応じて、水蒸気に加えて、酸素ガス、ヘリウムガス、窒素ガス、アルゴンガス、亜酸化窒素ガスおよび/または水素ガスをプロセスガスに添加し得ることが企図される。
一実施形態では、容量結合された水蒸気プラズマを発生させるときに、チャンバ内に位置する基板190とシャワーヘッド120の間の間隔が、約20ミルから約600ミルの範囲にあり得る。基板との間の狭い間隔は、より高い(例えば約7トルよりも大きい)圧力下のより大きな容積内で基板を処理するとき(例えば大面積基板を処理するとき)に有益である。約7トルよりも大きな圧力で基板を処理するとき、狭い間隔は、プラズマの持続性を促進する。一例では、約30トルで基板を処理するときに、基板とフェースプレートの間の間隔が約300ミルであり得る。40トルでは、基板とフェースプレートの間の間隔を、約240ミルから約270ミルの範囲にすることができる。約50トルの圧力では、基板とフェースプレートの間の間隔を、200ミル未満にすることができる。
ステップ204で実行される炭素含有残留物除去プロセスは、ステップ206で説明したハードマスク層306を除去するように構成されたチャンバと同じチャンバ内で実行することができることに留意されたい。他の実施形態では、エッチングチャンバなどの別のチャンバ内でステップ204を実行し得ること、およびステップ206のハードマスク層306の除去プロセスを実行するためにプロセスチャンバ内に基板を配置する前にステップ204を実行し得ることが企図される。ステップ204のプロセスをステップ206のプロセスと同時に実行することができることにも留意されたい。言い換えると、処理チャンバ内で水蒸気プラズマ処理が実行されるときには、(ステップ206で説明した)ハードマスク層306が除去されるだけでなく、(ステップ204で説明した)炭素含有残留物または副生物も除去されることがある。したがって、1回の水蒸気プラズマプロセスを実行することによって、(ステップ204で説明した)炭素含有残留物または副生物と(ステップ206で説明した)ハードマスク層306の両方を同時に除去することができる。
一実施形態では、ステップ204のプラズマおよびステップ206の水蒸気プラズマプロセスを、離れた位置で発生させることに加えて、または離れた位置で発生させる代わりに、容量結合また誘導結合し得ることが企図される。例えば、水蒸気および不活性ガスから容量結合プラズマを発生させ得ることが企図される。水蒸気は、約5sccmから3000sccmの間の流量、例えば約4,000sccmの流量でチャンバに導入することができる。Ar、Heなどの不活性ガスは、約5sccmから約3000sccmの間の流量、例えば約1000sccmの流量でチャンバに供給することができる。他の実施形態では、必要に応じて、インシトゥ(その場)蒸気発生によって水蒸気を発生させ得ることが企図される。
ホウ素−炭素層および/またはフォトレジスト層がイオン注入プロセス後にドープされたドーパントを有する実施形態では、必要に応じて、水蒸気プラズマプロセス200を利用して、そのような層を洗浄しまたは除去することもできる。
一実施形態では、約100:1など約20:1よりも大きなアスペクト比を有するフィーチャ508を有するコンタクト構造体570であって、基板502上の電界効果トランジスタ504上に配置されたコンタクト構造体として誘電体層506内に形成された図5に示されているようなコンタクト構造体570を洗浄するために、水蒸気プラズマプロセス200が利用され得る。誘電体層506は酸化ケイ素を含む層とすることができる。誘電体層506に対する適当な材料にはこの他、酸化ケイ素、TEOSなどのドープされていないシリコンガラス(USG)、ホウ素−ケイ酸塩ガラス(boron−silicate glass:BSG)、リン−ケイ酸塩ガラス(phosphorus−silicate glass:PSG)、ホウ素−リン−ケイ酸塩ガラス(boron−phosphorus−silicate glass:BPSG)およびこれらの混合物などがある。本明細書に示された例示的な実施形態では、誘電体層506が、ドープされていないシリコンガラス(USG)層である。一実施形態では、誘電体層506の厚さが、約3000Åから約15000Åの間、例えば約4000Åから約12000Åの間、例えば約10000Åである。さらに、水蒸気プラズマを使用して、誘電体層506の表面、およびしばしばケイ化ニッケル、ケイ化コバルト、ケイ化タングステン、ケイ化タンタル、ケイ化チタンおよび他の適当な金属ケイ化物材料からなるグループから選択された金属ケイ化物層であるコンタクト構造体501上に配置された電界効果トランジスタ504の表面を洗浄することもできる。ある実施形態では、金属ケイ化物層が、エッチング停止層としてその上に配置された窒化ケイ素層を有することができる。誘電体層506に形成されたフィーチャ508は、よりいっそう高いアスペクト比または洗浄するのが難しい幾何形状を有することがあるため、コンタクトの前洗浄プロセス、エッチング後の残留物洗浄などの水蒸気プラズマ処理プロセスを使用することによって、水蒸気をフィーチャ底部(例えばビア/トレンチ底部)までさらに深く供給して、後続の金属堆積プロセスの前に基板表面を洗浄することができる。プラズマ処理プロセスで供給される水蒸気は、最小の金属酸化効果を有し、それによって、コンタクト構造体を完成させるために後続の金属層をその上に形成するための低接触抵抗表面を容易に維持することができると考えられる。
表面前洗浄プロセスの後、後続のプロセスで、フィーチャ508に金属材料を充填しまたは堆積させて、基板上に相互接続構造体を形成することができる。金属材料の例には、タングステン(W)、窒化タングステン(WN)、銅(Cu)、チタン(Ti)、タンタル(Ta)、アルミニウム(Al)、窒化チタン(TiN)、窒化タンタル(TaN)などがある。あるいは、必要に応じて、プロセス200を使用して、金属ゲート構造体または他の適当な構造体などの他の構造体、およびフッ素、塩素、硫黄汚染物質などのいくつかの非金属汚染物質を洗浄または除去することができる。さらに他の実施形態では、所望の範囲内の表面濡れ角を警告するために、プロセス200を使用して、必要に応じて、表面パッシベーション、チャンバ壁パッシベーションまたは表面処理を実行することができる。チャンバ壁がAlを含む実施形態では、プロセス200を実行して、フッ素成分などの過酷な化学物質からチャンバ内部を保護することができる。さらに、プロセス200を使用して、ホウ素膜、炭素膜またはホウ素および炭素を含む膜の残留物を有する基板斜面除去プロセスを含む基板斜面ストリップ(substrate bevel strip)を洗浄することもできる。
堆積チャンバ、エッチングチャンバなどの全ての種類の処理チャンバを含む処理チャンバ壁が、ホウ素−炭素層堆積プロセス、炭素層堆積プロセスまたはホウ素層堆積プロセスのサイクルの後に、ホウ素−炭素層蓄積、炭素層蓄積もしくはホウ素層蓄積、または他の集積を有することがあるいくつかの場合には、必要に応じて、水蒸気プラズマプロセス200を利用して、このような層を洗浄または除去することもできることに留意されたい。さらに、水蒸気プラズマプロセス200は、チャンバ壁パッシベーションを支援し、またはエッチングプロセスもしくは堆積プロセスのサイクルの後にチャンバ壁保護を提供することもできる。
本明細書に記載された方法の利点には、基板上に位置する材料またはその下の金属層を傷つけることなく材料層を除去し、または基板表面を洗浄することが含まれる。この除去および/または洗浄方法は、プラズマの組成を変化させることによって、エッチング速度およびエッチング均一性を制御することを可能にする。必要に応じて、本明細書に記載された水蒸気プラズマ処理プロセスを利用して、任意の材料を含む基板表面を洗浄することもできることに留意されたい。
以上の説明は本発明の実施形態を対象としているが、本発明の基本的な範囲を逸脱することなく本発明の他の追加の実施形態を考案することができ、それらの実施形態の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 基板の表面を洗浄する方法であって、
    コンタクト構造体を有する基板を処理チャンバ内に配置することであって、前記基板が、前記基板上に配置され、前記基板上に開口を形成する誘電体層を有し、炭素およびホウ素を含むハードマスク層が前記誘電体層上に配置されている、前記配置すること、
    前記誘電体層および前記ハードマスク層を、水蒸気プラズマにさらこと、
    前記チャンバ内のプロセス圧力を約1トルから約120トルの間に維持すること、および
    前記基板上に形成された前記コンタクト構造体を洗浄し、かつ前記ハードマスク層を除去することを含む方法。
  2. 前記コンタクト構造体を形成するのに使用された前記誘電体層が、ドープされていないシリコンガラス(USG)、ホウ素−ケイ酸塩ガラス(BSG)、リン−ケイ酸塩ガラス(PSG)、ホウ素−リン−ケイ酸塩ガラス(BPSG)およびこれらの混合物からなるグループから選択される、請求項1に記載の方法。
  3. 前記さらすことが、約40度未満の濡れ角を有するように前記誘電体層の表面および前記ハードマスク層の表面を制御することをさらに含む、請求項1に記載の方法。
  4. 前記さらすことが、前記誘電体層の表面および前記ハードマスク層の表面を親水性表面に変えることをさらに含む、請求項3に記載の方法。
  5. 前記さらすことが、水蒸気と一緒に、酸素を含むガスまたは水素を含むガスを前記チャンバ内に供給することをさらに含む、請求項1に記載の方法。
  6. 前記さらすことが、前記水蒸気プラズマを形成するため約5ワットから約5000ワットの間のRF電力を加えることをさらに含む、請求項1に記載の方法。
  7. 前記さらすことが、前記水蒸気プラズマにさらす前に、前記誘電体層を、炭素−フッ素を含むガスにさらすことをさらに含む、請求項1に記載の方法。
  8. 前記さらすことが、水蒸気を前記チャンバ内に供給することをさらに含み、
    前記水蒸気が、アルゴン、ヘリウムまたは窒素の存在下で生成される、請求項1に記載の方法。
  9. 前記さらすことが、前記水蒸気を前記チャンバにパルスモードで供給することをさらに含む、請求項に記載の方法。
  10. 前記基板上に形成された前記開口が、その下のコンタクト金属またはコンタクト金属ケイ化物層またはコンタクト窒化ケイ素層を露出させるための開口である、請求項1に記載の方法。
  11. 前記水蒸気プラズマが、前記基板上に配置された、前記コンタクト金属またはコンタクト金属ケイ化物層またはコンタクト窒化ケイ素層、および前記誘電体層を洗浄する、請求項10に記載の方法。
  12. 基板表面を洗浄する方法であって、
    その上に配置された誘電体層を有する基板をチャンバ内に配置することであって、炭素およびホウ素を含むハードマスク層が前記誘電体層上に配置されている、前記配置すること
    前記誘電体層および前記ハードマスク層を、水蒸気プラズマにさらこと、
    約40度未満の濡れ角を有するように前記誘電体層の表面および前記ハードマスク層の表面を制御すること、および
    前記基板から前記誘電体層を洗浄し、かつ前記ハードマスク層を除去することを含む方法。
  13. 前記さらすことが、前記チャンバ内のプロセス圧力を約1トルから約120トルの間に維持することをさらに含む、請求項12に記載の方法。
  14. 前記さらすことが、水蒸気にRF電力を加えることをさらに含む、請求項12に記載の方法。
  15. 前記さらすことが、水蒸気と一緒に、酸素を含むガスおよび/または水素を含むガスを前記チャンバに流入させることをさらに含み、前記酸素を含むガスが、O、NO、CO、NOまたはNOからなるグループから選択され、前記水素を含むガスが、H、H またはNHからなるグループから選択される、請求項12に記載の方法。
JP2014541148A 2011-11-08 2012-11-06 水蒸気処理を使用して基板から材料層を除去する方法 Active JP6158199B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/291,286 US9653327B2 (en) 2011-05-12 2011-11-08 Methods of removing a material layer from a substrate using water vapor treatment
US13/291,286 2011-11-08
PCT/US2012/063651 WO2013070570A1 (en) 2011-11-08 2012-11-06 Methods of removing a material layer from a substrate using water vapor treatment

Publications (3)

Publication Number Publication Date
JP2015504239A JP2015504239A (ja) 2015-02-05
JP2015504239A5 JP2015504239A5 (ja) 2015-12-24
JP6158199B2 true JP6158199B2 (ja) 2017-07-05

Family

ID=47141035

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014541148A Active JP6158199B2 (ja) 2011-11-08 2012-11-06 水蒸気処理を使用して基板から材料層を除去する方法

Country Status (5)

Country Link
US (1) US9653327B2 (ja)
JP (1) JP6158199B2 (ja)
KR (1) KR102033707B1 (ja)
TW (1) TWI636501B (ja)
WO (1) WO2013070570A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
KR20160002059A (ko) * 2014-06-30 2016-01-07 삼성전자주식회사 하드 마스크 제거 방법
US9390923B2 (en) 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9685383B2 (en) * 2015-05-13 2017-06-20 United Microelectronics Corp. Method of forming semiconductor device
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6854611B2 (ja) * 2016-01-13 2021-04-07 東京エレクトロン株式会社 基板処理方法、基板処理装置及び基板処理システム
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6971267B2 (ja) * 2016-06-20 2021-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 高温で処理チャンバ内のホウ素―炭素残留物を除去するための洗浄プロセス
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR102456820B1 (ko) * 2016-12-26 2022-10-19 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치, 기판 처리 시스템, 기판 처리 시스템의 제어 장치, 반도체 기판의 제조 방법 및 반도체 기판
JP6914143B2 (ja) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム、基板処理システムの制御装置および半導体基板の製造方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7190450B2 (ja) * 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10707070B2 (en) * 2017-09-29 2020-07-07 Tokyo Electron Limited Methods and systems for coating a substrate with a fluid
US10804109B2 (en) 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
CN112219260A (zh) 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
US20230100863A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Water vapor plasma to enhance surface hydrophilicity
KR102528303B1 (ko) * 2021-11-22 2023-05-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
KR20240053429A (ko) * 2022-10-17 2024-04-24 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262262A (en) 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH05217965A (ja) 1992-01-22 1993-08-27 Nec Corp 半導体装置の製造方法
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP2005328075A (ja) * 1995-12-28 2005-11-24 Seiko Epson Corp 表面処理方法および表面処理装置
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759913A (en) 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
CN1252810C (zh) 1997-01-21 2006-04-19 B·F·谷德里奇公司 用于超低电容互连的有空气隙的半导体装置的制造
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US5981000A (en) 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP3193335B2 (ja) * 1997-12-12 2001-07-30 松下電器産業株式会社 半導体装置の製造方法
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
JP2000106396A (ja) 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
JP3925088B2 (ja) * 2001-01-16 2007-06-06 株式会社日立製作所 ドライ洗浄方法
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040229470A1 (en) 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060199393A1 (en) 2004-06-29 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. H20 plasma and h20 vapor methods for releasing charges
KR100533978B1 (ko) 2004-06-30 2005-12-07 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100637689B1 (ko) 2005-04-21 2006-10-24 주식회사 하이닉스반도체 고상에피택시 방식을 이용한 반도체소자의 콘택 형성 방법
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
KR20070081649A (ko) 2006-02-13 2007-08-17 삼성전자주식회사 반도체 소자의 제조 방법
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US7771541B2 (en) * 2007-03-22 2010-08-10 International Business Machines Corporation Method of removing metallic, inorganic and organic contaminants from chip passivation layer surfaces
US8337950B2 (en) 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP2011517368A (ja) * 2008-02-29 2011-06-02 アプライド マテリアルズ インコーポレイテッド 基板からポリマーを除去するための方法及び装置
US20110108058A1 (en) 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films

Also Published As

Publication number Publication date
KR20140089383A (ko) 2014-07-14
US20120285481A1 (en) 2012-11-15
KR102033707B1 (ko) 2019-10-17
TW201330085A (zh) 2013-07-16
TWI636501B (zh) 2018-09-21
US9653327B2 (en) 2017-05-16
WO2013070570A1 (en) 2013-05-16
JP2015504239A (ja) 2015-02-05

Similar Documents

Publication Publication Date Title
JP6158199B2 (ja) 水蒸気処理を使用して基板から材料層を除去する方法
JP7123976B2 (ja) 無水エッチングの方法
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7435685B2 (en) Method of forming a low-K dual damascene interconnect structure
US20160211172A1 (en) Integrated metal spacer and air gap interconnect
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
KR20070089082A (ko) 기판의 부식을 제어하기 위한 방법
TWI713082B (zh) 透過限制達成的矽化物相控制
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
US7732304B2 (en) Method of manufacturing semiconductor device
US11024537B2 (en) Methods and apparatus for hybrid feature metallization
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
CN101154585A (zh) 刻蚀停止层及双镶嵌结构的形成方法
KR20220163878A (ko) 금속 피처들의 원자 층 에칭

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151104

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151104

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170607

R150 Certificate of patent or registration of utility model

Ref document number: 6158199

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250