KR20140089383A - 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들 - Google Patents

수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들 Download PDF

Info

Publication number
KR20140089383A
KR20140089383A KR1020147013951A KR20147013951A KR20140089383A KR 20140089383 A KR20140089383 A KR 20140089383A KR 1020147013951 A KR1020147013951 A KR 1020147013951A KR 20147013951 A KR20147013951 A KR 20147013951A KR 20140089383 A KR20140089383 A KR 20140089383A
Authority
KR
South Korea
Prior art keywords
substrate
water vapor
cleaning
dielectric layer
chamber
Prior art date
Application number
KR1020147013951A
Other languages
English (en)
Other versions
KR102033707B1 (ko
Inventor
광덕 더글라스 이
수드하 라티
치우 찬
마틴 제이. 시몬스
복 헌 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140089383A publication Critical patent/KR20140089383A/ko
Application granted granted Critical
Publication of KR102033707B1 publication Critical patent/KR102033707B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명의 실시예들은 일반적으로, 수증기 플라즈마 처리를 이용하여, 상이한 재료 층들이 상부에 배치되어 있는 기판 표면을 제거 및/또는 세정하는 방법들에 관한 것이다. 일 실시예에서, 기판의 표면을 세정하기 위한 방법은, 기판을 프로세싱 챔버 내에 배치하는(positioning) 단계 ― 기판은, 기판 상에 배치되어 기판 상에 개구들을 형성하는 유전체 층을 가짐 ― ; 수증기 내에서 플라즈마를 형성하기 위해, 기판 상에 배치된 유전체 층을 챔버 내로 공급되는 수증기에 노출시키는 단계; 챔버 내의 프로세스 압력을 약 1 Torr 내지 약 120 Torr로 유지하는 단계; 및 기판 상에 형성된 컨택 구조를 세정하는 단계를 포함한다.

Description

수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들{METHODS OF REMOVING A MATERIAL LAYER FROM A SUBSTRATE USING WATER VAPOR TREATMENT}
본 발명의 실시예들은 일반적으로, 반도체 기판으로부터 재료 층을 제거하는 방법들에 관한 것으로서, 보다 특정하게는, 수증기 플라즈마 처리를 이용하여 반도체 기판으로부터 붕소-탄소 층을 제거하는 방법들에 관한 것이다.
전형적으로, 집적 회로들 및 반도체 디바이스들의 배선 구조(interconnect structure)들은, 유전체 재료에 의해 분리되는 전도성 층들의 3차원 네트워크를 생성하기 위하여 일련의 유전체 층들 및 전도성 층들을 형성함으로써 제조된다. 배선 구조는, 예를 들어, 하나 또는 그 초과의 전도성 플러그들 또는 서브-층들의 정상에 저(low) k 유전체 층과 같은 유전체 층이 형성되는 다마신 구조(damascene structure)를 이용하여 제조될 수 있다. 전도성 서브-층들에 대한 전기적인 연결을 형성하기 위해, 유전체는 자신을 통하여 비아 개구(via opening)들을 정의하도록 패터닝 및 식각된다. 유전체 층들 내에 개구들을 형성할 때, 유전체 층 내에 개구들 및/또는 피쳐들을 전사(transfer)시키는 것을 돕기 위해 포토레지스트 층 또는 하드마스크 층이 종종 이용된다. 유전체 층 내의 개구들의 형성은 전도성 라인(conductive line)의 일부를 노출시킨다. 따라서, 이러한 배선 피쳐들의 신뢰성있는 형성이, 개별적인 기판들 상에 그리고 각각의 다이 내에 형성되는 디바이스들의 품질, 성능 및 신뢰성을 보장하는 데에 있어서 중요한 요인(factor)이다.
집적 회로들 및 반도체 디바이스들에 대한 시장은, 더 빠른 회로, 및 더 큰 회로 밀도(예를 들어, 단일 칩 상에서의 수백만 개의 컴포넌트들을 포함함)를 계속해서 요구한다. 결과적으로, 집적 회로 컴포넌트들의 치수들이 축소되며, 그리고 이러한 컴포넌트들을 제조하는 데에 이용되는 재료의 선택이 더욱 더 중요하게 되었다. 예를 들어, 집적 회로들 상의 컴포넌트들 사이에 전도성 경로들을 제공하는, 이를 테면 구리 및 알루미늄과 같은, 저 저항률(resistivity) 금속 배선들은, 이제, 인접하는 금속 라인들 간의 용량성 결합을 감소시키고 그에 의해 동일한 선폭(line width)들에서 신뢰성있는 성능을 가능하게 하는 절연성 인터-메탈 층(insulating inter-metal layer)들을 제공하기 위해, 금속 배선들 사이에, 예를 들어 ≤4 의 유전 상수들 갖는 저 유전 상수 층들을 필요로 한다.
유전체 층들로서 통상적으로 이용되는 저 k 재료들은, 기판 상에 필름으로서 증착되는, 다른 재료들 중에서, 도핑되지 않은 실리콘 유리(USG), 불소-도핑된 실리콘 유리(FSG), 탄소 도핑된 실리콘 이산화물(SiOC), 및 폴리테트라플루오로에틸렌을 포함한다. 식각에 의해 정의되는(etch-defined) 유전체 층 상에 전도성 층을 형성하기 전에, 식각 및/또는 애싱(ashing) 프로세스들로부터, 이를 테면 자연 산화물(native oxide)들 및/또는 유기 재료들과 같은 잔여 오염물질(residual contaminant)들을 제거하기 위해, 유전체 필름의 상부 표면을 세정하는 것이 바람직하다. 오염물질들을 제거하게 되면, 증착될 전도성 층의 인터페이스에서의 접착 손실(adhesion loss)을 막고 및/또는 컨택 저항을 감소시킨다.
사전 세정(precleaning) 절차는, 전도성 층의 증착에 앞서서 유전체 필름 표면으로부터 오염물질들을 제거하는 데에 이용될 수 있다. 하지만, 유전체 층을 사전 세정하기 위해 이용되는, 이를 테면 습식 세정 프로세스 또는 다른 프로세스들과 같은, 통상의 세정 프로세스는, 후속 전도성 층 증착에 앞서서 프로세스 챔버 내에서 원치않는 대전된 입자(charged particle)들을 발생시키거나 또는 유전체 필름 표면을 손상시키거나 재스퍼터링(resputter)시킬 수 있다. 따라서, 통상의 기법들을 이용하여 세정되는 저 k 유전체 필름은 필름 열화(degradation) 및 결함들을 초래할 수 있다. 부가적으로, 탄소 도핑된 저 k 재료들은, 세정 절차에서 이용되는 플라즈마에 노출된 이후 저 k 재료의 유전 상수가 증가하는, 탄소 공핍(carbon depletion) 또는 "k 손실(k loss)"을 겪는 경향이 있다. 결과적으로, 세정 절차 이후, 원하지 않는 크로스토크(cross-talk) 및 RC 딜레이(delay)가 더욱 문제가 되고 있다.
또한, 유전체 층 내에 개구 및/또는 피쳐들을 전사시키는 데에 이용되는 포토레지스트 층 및/또는 하드마스크 층에 대해, 하부의(underlying) 유전체 층을 손상시키지 않으면서 또는 유전체 층 내에서 필름 열화 및 결함들을 불리하게 초래하지 않으면서 포토레지스트 층 및/또는 하드마스크 층을 세정 또는 제거하기 위한 적합한 제거 프로세스를 가질 것이 요구된다. 예를 들어, 붕소-탄소 층과 같은 붕소-탄소 필름들은, 식각 프로세스 동안 하드마스크로서 이용될 때, 비정질 탄소와 비교하여 우수한 패터닝 성능을 입증하였다. 하지만, 붕소-탄소 필름들은 쉽게 벗겨지지 않는다. 붕소 탄소 필름을 제거하기 위한 과도하게 공격적인 플라즈마 제거 프로세스는 유전체 재료들, 노출된 금속 표면들, 또는 반도체 기판들 상에서 또한 일반적으로 발견되는 매립 금속(embedded metal)들에 대한 부식(corrosion)을 초래할 수 있으며, 이에 의해 디바이스 구조 및 디바이스 성능 실패를 바람직하지 않게 악화시키는 결과를 초래할 수 있다.
또한, 다음 세대 디바이스들에 대해 회로 밀도들이 증가함에 따라, 비아들, 트렌치들, 개구들, 컨택들, 게이트 구조들 및 다른 피쳐들과 같은 배선들 뿐만 아니라, 이러한 배선들 사이의 유전 재료들의 폭들이 45nm 및 32nm 치수들로 감소되는데 반하여, 유전체 층들의 두께는 실질적으로 일정하게 유지되며, 결과적으로, 딥(deep) 컨택 구조, 복잡한(complicated) 고(high)-k의 금속 게이트 필름 스택 및/또는 3차원 메모리 스택 등과 같은 피쳐들의 종횡비들을 증가시킨다. 많은 전형적인 세정 프로세스들은, 종횡비가 4:1을 초과하거나 특이한 기하형상(odd geometry)을 갖는 서브미크론 구조들로부터 잔류물들을 제거하는 데에 있어서 어려움을 겪는다.
따라서, 기판 표면을 제거하거나 세정하는 개선된 방법이 필요하다.
본 발명의 실시예들은 일반적으로, 수증기 플라즈마 처리를 이용하여, 잔류물을 제거하고 및/또는 재료층이 상부에 배치되어 있는 기판 표면을 세정하는 방법들에 관한 것이다. 일 실시예에서, 기판의 표면을 세정하기 위한 방법은, 기판을 프로세싱 챔버 내에 배치하는(positioning) 단계 ― 기판은, 기판 상에 배치되어 기판 상에 개구들을 형성하는 유전체 층을 가짐 ― ; 수증기 내에서 플라즈마를 형성하기 위해, 기판 상에 배치된 유전체 층을 챔버 내로 공급되는 수증기에 노출시키는 단계; 챔버 내의 프로세스 압력을 약 1 Torr 내지 약 120 Torr로 유지하는 단계; 및 기판 상에 형성된 컨택 구조를 세정하는 단계를 포함한다.
다른 실시예에서, 기판 표면을 세정하기 위한 방법은, 유전체 층이 상부에 배치되어 있는 기판을 챔버 내에 배치하는 단계; 수증기 내에서 플라즈마를 형성하기 위해, 챔버 내로 공급되는 수증기에 유전체 층을 노출시키는 단계; 약 40도 미만의 습윤각(wetting angle)을 갖도록 유전체 층의 표면을 제어하는 단계; 및 기판으로부터 유전체 층을 세정하는 단계를 포함한다.
또 다른 실시예에서, 프로세싱 챔버를 세정하기 위한 방법은, 프로세싱 챔버를 제공하는 단계 ― 프로세싱 챔버의 내부에는 붕소-탄소 함유 잔류물들이 형성되어 있음 ― ; 수증기 내에서 플라즈마를 형성하기 위해, 프로세싱 챔버에 커플링된 수증기 발생기로부터 발생되는 수증기를 프로세싱 챔버 내로 공급하는 단계; 및 프로세싱 챔버로부터 붕소-탄소 함유 잔류물들을 제거하는 단계를 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명을 실시하는 데에 이용될 수 있는 장치의 개략도를 도시한다.
도 2는 본 발명의 일 실시예에 따른 세정 프로세스의 프로세스 흐름도(flow process diagram)를 도시한다.
도 3a-3b는 도 2의 방법에 따른, 붕소-도핑된 탄소 층을 포함하는 기판 구조의 세정의 개략적인 단면도들의 시퀀스(sequence)를 도시한다.
도 4a-4b는 본 발명의 일 실시예에 따른, 상이한 액체 전구체(liquid precursor)들과 접촉하는 상이한 습윤각들을 갖는 기판 표면들의 단면도들이다.
도 5는 수증기 플라즈마 처리 프로세스가 상부에서 수행될 수 있는, 전계 효과 트랜지스터들을 위한 컨택 구조 내에 형성된 고 종횡비 피쳐들을 갖는 복합 구조의 단면도이다.
이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 엘리먼트들 및 피쳐들은 특정한 열거 없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
본 발명의 실시예들은 일반적으로, 수증기 플라즈마 처리를 이용하여, 재료 층이 상부에 배치되어 있는 기판 표면을 제거 및/또는 세정하는 방법들에 관한 것이다. 일 실시예에서, 재료 층은 탄소 함유 층이다. 다른 실시예에서, 재료 층은 붕소-탄소 함유 층이다. 또 다른 실시예에서, 재료 층은 요구되는 바에 따라 임의의 적합한 재료 층들일 수 있다. 방법들은, 수증기 플라즈마 처리를 이용하여, 재료 층이 상부에 배치되어 있는 기판 표면을 제거하는 데에 이용될 수 있다. 재료 층 제거 프로세스들은 또한, 재료 층들의 제거 이전에 선택적인 탄소 함유 재료 층 제거 프로세스를 포함할 수 있다. 본 발명의 실시예들은, 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수할 수 있는 Producer
Figure pct00001
SE 또는 Producer
Figure pct00002
GT 챔버들에서 실시될 수 있다. 다른 제조업자들에 의해 제조되는 챔버들을 포함하는 다른 챔버들이 본원에서 설명되는 실시예들로부터 이득을 얻을 수 있음이 고려된다.
도 1은 본 발명의 실시예들에 따른, 세정/제거 프로세스를 수행하는 데에 이용될 수 있는 기판 프로세싱 시스템(132)의 개략적인 표현이다. 본 발명을 실시하는 데에 이용될 수 있는 기판 프로세싱 시스템(132)의 일 예의 구체적인 내용들은, 2002년 4월 2일 Salvador 등에게 특허 허여된, 본원과 양수인이 동일한 미국 특허 번호 제6,364,954호에서 설명된다. 본 발명을 실시하는 데에 이용될 수 있는 시스템들의 다른 예들은 CENTURA
Figure pct00003
, 및 Producer
Figure pct00004
SE 또는 Producer
Figure pct00005
GT 증착 시스템들을 포함하며, 이들 모두는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수할 수 있다. 다른 제조업자들로부터 입수할 수 있는 프로세싱 시스템들을 포함하는 다른 프로세싱 시스템이 본 발명을 실시하도록 적합하게 될 수 있음이 고려된다.
프로세싱 시스템(132)은 프로세스 챔버(100)를 포함하며, 이 프로세스 챔버(100)는 가스 패널(130) 및 제어기(110)에 커플링된다. 프로세스 챔버(100)는 일반적으로, 내부 용적(interior volume)(126)을 정의하는, 상단부(top)(124), 측부(side)(101) 및 바닥 벽(bottom wall)(122)을 포함한다. 수증기 발생(WVG) 시스템(152)이 프로세싱 시스템(132)에 커플링되며, 이러한 수증기 발생(WVG) 시스템(152)은 프로세스 챔버(132) 내에 정의되는 내부 용적(126)과 유체(fluid) 통신한다. WVG 시스템(152)은 O2와 H2의 촉매 반응에 의해 초 고순도(ultra-high purity)의 수증기를 발생시킨다. 대안적으로, WVG 시스템(152)은 또한, 요구되는 바에 따라 물(H2O)을 수증기로 바로 기화시킴으로써 수증기를 발생시킬 수 있다. 일 실시예에서, H2와 O2 각각은 약 1 sccm 내지 약 30000 sccm 범위의 레이트로 WVG 시스템(152) 내로 유동한다. O2가 풍부한 수증기(O2 enriched water vapor)가 요구되는 실시예에서는, O2의 유동이 H2의 유동 보다 더 많도록 구성되며, 그 반대의 경우도 마찬가지이다. 일단 요구되는 H2/O2 농도가 결정되면, 각각의 유량은 동일한 또는 상이한 H2/O2 농도를 갖는 바깥쪽으로 유동하는 수증기를 조정하도록 비례하여 변경될 수 있다.
일 실시예에서, WVG 시스템(152)은, 화학 반응에 의해 수증기가 발생되는, 촉매-라인드 반응기(catalyst-lined reactor) 또는 촉매 카트리지(catalyst cartridge)를 갖는다. 촉매는 금속 또는 합금, 이를 테면 팔라듐, 백금, 니켈, 이들의 조합들 및 이들의 합금들을 포함할 수 있다. 기판(190) 상에 배치된 하부의 유전체 층에 대해 형성되는 원치않는 오염물질(contamination) 또는 순도(purity)를 제거하기 위한 세정 프로세스를 위해서는 초 고순도의 물이 이상적이다. 일 실시예에서, 반응하지 않은 H2가 하류쪽으로(downstream) 유동하는 것을 막기 위해, O2는 5초 동안 WVG 시스템(152)을 통해 유동하도록 허용된다. 그 다음에, H2가 약 5초 동안 반응기에 들어가도록 허용된다. H2와 O2 간의 촉매 반응은 순간적이며, 이에 따라 수증기는 H2 및 O2가 반응기에 도달한 직후에 발생된다. H2 및 O2의 유동을 조정하게 되면, 1% 내지 100% 농도들의 임의의 포인트에서 농도가 정확히 제어될 수 있게 한다. 즉, 수증기는 물, H2, O2 또는 이들의 조합들을 함유할 수 있다. 일 예에서, 수증기는 물 및 O2를 함유한다. 다른 예에서, 수증기는 물 및 H2를 함유한다. 유사하게, 동일한 가스 유동 제어 방법을 이용함으로써, 수증기의 양이 또한 조정될 수 있게 됨으로써, 정확하고 반복가능한 유동들을 항상 산출할 수 있게 된다. 수증기는 일반적으로 H2 및 O2를 반응기 내로 유동시킴으로써 발생되지만, O2는, 이를 테면 NO, N2O, NO2, N2O5, H2O2 또는 O3와 같은 다른 산소 소스 화합물에 의해 보충되거나(supplemented) 또는 대체될(substituted) 수 있다. 일 실시예에서는, 요구되는 바에 따라, H2 및 N2O가 수증기를 형성하기 위해 이용된다. 이를 테면, 캘리포니아 산타클라라에 소재하는 Fujikin of America, Inc.에 의한 WVG, 및 캘리포니아 멘로 파크에 소재하는 Ultra Clean Technology에 의한 CSGS(촉매 스팀 발생기 시스템)와 같은, 적합한 WVG 시스템들(152)이 상업적으로 입수가능하다. WVG 시스템(152)은 또한, 임의의 농도의 수증기를 발생시킬 수 있는 임의의 종류의 장비가 될 수 있음이 주목된다.
지지 페디스털(support pedestal)(150)이 챔버(100)의 내부 용적(126)에 제공된다. 페디스털(150)은 알루미늄, 세라믹 및 다른 적합한 재료들로 제조될 수 있다. 일 실시예에서, 페디스털(150)은, 페디스털(150)에 열 손상을 야기하지 않으면서 플라즈마 프로세스 환경과 같은 고온 환경에서 이용하기에 적합한 재료인, 이를 테면 질화 알루미늄과 같은 세라믹 재료에 의해 제조된다. 페디스털(150)은 리프트 메커니즘(lift mechanism)(미도시)을 이용하여 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
페디스털(150)은, 페디스털(150) 상에 지지되는 기판(190)의 온도를 제어하는 데에 적합한 내장된 가열기 엘리먼트(170)를 포함할 수 있다. 일 실시예에서, 페디스털(150)은, 전력 공급부(106)로부터의 전류(electric current)를 가열기 엘리먼트(170)에 인가함으로써 저항식으로 가열될 수 있다. 일 실시예에서, 가열기 엘리먼트(170)는, 니켈-철-크롬 합금(예를 들어, INCOLOY
Figure pct00006
) 쉬스 튜브(sheath tube) 내에 캡슐화되는(encapsulated) 니켈-크롬 와이어로 만들어질 수 있다. 전력 공급부(106)로부터 공급되는 전류는 가열기 엘리먼트(170)에 의해 발생되는 열을 제어하기 위해 제어기(110)에 의해 조정되며, 이에 의해 임의의 적합한 온도 범위에서 필름 증착 동안 기판(190) 및 페디스털(150)을 실질적으로 일정한 온도로 유지한다. 다른 실시예에서, 페디스털은 요구되는 바에 따라 상온에서 유지될 수 있다. 또 다른 실시예에서, 페디스털(150)은, 요구되는 바에 따라 페디스털(150)을 상온 보다 더 낮은 범위로 냉각시키기 위해, 요구되는 바에 따라 칠러(chiller)(미도시)를 또한 포함할 수 있다. 공급되는 전류는, 페디스털(150)의 온도를 약 100℃ 내지 약 780℃, 이를 테면 약 150℃ 내지 약 550℃로 선택적으로 제어하도록 조정될 수 있다.
페디스털(150)의 온도를 통상의 방식으로 모니터링하기 위해, 열전대(thermocouple)와 같은 온도 센서(172)가 지지 페디스털(150) 내에 내장될 수 있다. 측정되는 온도는, 가열 엘리먼트(170)에 공급되는 전력을 제어하여 기판을 요구되는 온도로 유지하기 위해, 제어기(110)에 의해 이용된다.
챔버(100)의 벽들(101)에 형성된 포트에 진공 펌프(102)가 커플링된다. 진공 펌프(102)는 프로세스 챔버(100) 내에서 요구되는 가스 압력을 유지하기 위해 이용된다. 진공 펌프(102)는 또한 챔버(100)로부터 프로세스의 부산물(by-product)들 및 프로세싱-후 가스(post-processing gas)들을 배출한다.
복수의 애퍼쳐(aperture)들(128)을 갖는 샤워헤드(120)가, 기판 지지 페디스털(150) 보다 위쪽에, 프로세스 챔버(100)의 상단부(124)에 커플링된다. 샤워헤드(120)의 애퍼쳐들(128)은 챔버(100) 내로 프로세스 가스들을 도입시키는 데에 이용된다. 애퍼쳐들(128)은, 상이한 프로세스 요건들에 대해 다양한 프로세스 가스들의 유동을 용이하게 하기 위해, 상이한 크기들, 개수, 분포들, 형상, 설계 및 직경들을 가질 수 있다. 샤워헤드(120)는, 프로세스 동안 내부 용적(126)에 다양한 가스들이 공급될 수 있게 허용하는 가스 패널(130)에 연결된다. 프로세스 가스들의 열 분해를 강화하기 위해, 샤워헤드(120)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성되며, 결과적으로 기판(190)의 표면(191) 상에 재료의 증착을 초래한다.
샤워헤드(120) 및 기판 지지 페디스털(150)은 내부 용적(126) 내에서 한 쌍의 이격된 전극들(a pair of spaced apart electrodes)로서 형성될 수 있다. 하나 또는 그 초과의 RF 소스들(140)이, 샤워헤드(120)와 페디스털(150) 사이에서의 플라즈마의 발생을 용이하게 하기 위해, 매칭 네트워크(matching network)(138)를 통해 샤워헤드(120)에 바이어스 전위(bias potential)를 제공한다. 대안적으로, RF 전력 소스들(140) 및 매칭 네트워크(138)는, 샤워헤드(120), 기판 페디스털(150)에 커플링될 수 있거나, 또는 샤워헤드(120)와 기판 페디스털(150)의 양자 모두에 커플링될 수 있거나, 또는 챔버(100) 외부에 배치된 안테나(미도시)에 커플링될 수 있다. 일 실시예에서, RF 소스들(140)은 약 30 kHz 내지 약 13.6 MHz의 주파수에서 약 10 와트 내지 약 3000 와트를 제공할 수 있다.
제어기(110)는, 프로세스 시퀀스를 제어하고 그리고 WVG 시스템(152) 및 가스 패널(130)로부터의 가스 유동들을 조정하기 위해 이용되는 지원 회로(114), 메모리(116) 및 중앙 처리 유닛(CPU)(112)을 포함한다. CPU(112)는 산업 환경(industrial setting)에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은, 이를 테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장장치(digital storage)와 같은 메모리(116)에 저장될 수 있다. 지원 회로(114)는 통상적으로 CPU(112)에 커플링되며, 캐시, 클럭 회로들, 입/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(110)와 프로세싱 시스템(132)의 다양한 컴포넌트들 간의 양방향 통신들은, 신호 버스들(118)(이러한 신호 버스들 중 일부가 도 1에 도시되어 있음)로서 집합적으로 지칭되는 다수의 신호 케이블들을 통해 핸들링된다.
도 2는 본 발명의 일 실시예에 따른, 기판 상에 배치된 재료 층을 제거하기 위한 방법(200)의 프로세스 흐름도를 도시한다. 도 3a-3b는 방법(200)에 따라 하드 마스크 층으로서 이용하기 위해 기판 상에 형성된 재료 층을 제거하기 위한 시퀀스를 도시하는 개략적인 단면도이다.
방법(200)은, 단계(202)에서, 이를 테면 도 1에서 설명된 바와 같은 프로세스 시스템(132) 내에 배치된 기판(190)과 같은 기판을 프로세스 챔버 내에 제공함으로써 시작된다. 다른 프로세스 챔버들, 이를 테면 다른 제조업자들로부터 입수할 수 있는 챔버들을 포함하는, 다른 식각 챔버들 또는 증착 챔버들이 이용될 수 있음이 고려된다. 도 3a에 나타낸 바와 같이, 기판(190)의 상부에는 재료 층(308)이 배치될 수 있다. 기판(190)은 실질적으로 평평한(planar) 표면, 평탄하지 않은(uneven) 표면, 또는 상부에 구조가 형성되어 있는 실질적으로 평평한 표면을 가질 수 있다. 일 실시예에서, 재료 층(308)은, 게이트 구조, 컨택 구조, 백엔드 배선 구조(backend interconnection structure) 또는 얕은 트렌치 격리(STI) 구조, 고-k/금속 게이트 스택, 3차원(3D) 메모리 스택, 또는 고 종횡비들, 특이한(odd) 또는 난해한(difficult) 기하형상들 또는 작은 치수들을 갖는 임의의 적합한 구조들을 형성하는 데에 이용되는 필름 스택의 일부일 수 있다. 재료 층(308)이 존재하지 않는 실시예들에서, 구조들은 기판(190) 내에 직접 형성될 수 있다.
일 실시예에서, 재료 층(308)은 어플라이드 머티어리얼스 인코포레이티드로부터 입수할 수 있는 BLACK DIAMOND
Figure pct00007
유전체 재료와 같은 탄소-함유 실리콘 산화물들(SiOC) 및 폴리아미드들과 같은 다른 저-k 폴리머들일 수 있다. 다른 적합한 재료들은 또한, 요구되는 바에 따라, 실리콘 산화물 층, 실리콘 층, 실리콘 산질화물 층, 또는 실리콘 질화물 층 또는 실리콘 카바이드 층을 포함한다. 또 다른 실시예에서, 재료 층(308)은 반도체 디바이스들을 제조하는 데에 이용되는 다른 유전체 재료들 중 하나 또는 그 초과의 층들을 포함할 수 있다.
재료 층(308)은, 선택적인 하드 마스크 층(306)을 이용하여 재료 층(306) 내에 개구들(304)(또는 비아들, 트렌치들, 채널들, 또는 다른 적합한 구조들이라고도 불림)을 형성하기 위해 패터닝 또는 식각될 수 있다. 여기에서 언급되는 용어 "개구"는, 섬(island)들, 비아들 사이에, 모든 종류들의 구조들 등 사이에, 채널들을 포함하는 그 내에 형성된 개방된 영역(open area)들을 갖는 모든 종류들의 구조들을 포함한다는 것이 주목된다. 특정 실시예에서, 하드 마스크 층(306)은 상이한 프로세스 요건에 기초하여, 요구되는 바에 따라 제거될 수 있다. 하드 마스크 층(306)은, 기판(190)의 하부 표면(underlying surface)(310)이 노출될 때 까지, 재료 층(308)의 특정 영역들을 식각으로부터 보호하면서, 개구들(304)을 형성하기 위한 식각을 위해 재료 층(308)의 나머지 영역들을 노출시킨다. 몇몇 실시예들에서는, 재료 층(308) 내에 개구들(304)을 형성하는 것을 돕기 위해 하드 마스크 층(306) 상에 포토레지스트 층(미도시)이 배치될 수 있다.
일 실시예에서, 하드 마스크 층(306)은, 이를 테면 CVD, PVD, ALD, 코팅, 이온 주입(ion implantation) 등과 같은 임의의 적합한 통상의 증착 기법들에 의해 형성되는, 비정질 탄소 층, 탄소 함유 층, 또는 붕소-탄소 층이다. 붕소-탄소 층은 붕소와 탄소를 모두 함유하며, 필름 내에서의 붕소 대 탄소의 원자 비율(atomic ratio)은 약 1:1 내지 약 3:1의 범위 내에 있다.
단계(204)에서, 지지체(support) 상에 기판을 배치한 후, 하드 마스크 층(306) 상에 위치되는 탄소 함유 잔류물들(312)이 제거된다. 상기 논의된 바와 같이, 탄소 함유 잔류물들(312)은, 하드 마스크 층이 식각 하드 마스크의 역할을 하는 이전에 수행된 식각 프로세스 동안 하드 마스크 층(306)의 상부 표면 상에서 발생된다. 탄소 함유 잔류물들(312)은 또한 개구(304)의 바닥(310) 또는 하드 마스크 층(306)의 측벽(316) 상에 형성되거나 존재할 수 있음이 주목된다. 식각 동안, 기판 및 그 위의 붕소-탄소 하드 마스크 층(306)은 기판 내로 요구되는 패턴을 식각하기 위해, 식각제(etchant), 예를 들어 C4F8과 같은 불소 함유 가스에 노출된다. 식각 프로세스 동안 발생되는 불소와 탄소의 중합으로 인해, 식각 프로세스는 탄소 함유 잔류물들을 생성하며, 이러한 탄소 함유 잔류물들은 또한 실리콘 및/또는 산소를 포함할 수 있다. 탄소 함유 잔류물들(312)은 일반적으로, 붕소-탄소 하드 마스크 층(306)의 보다 효율적인 제거를 가능하게 하기 위해 하드 마스크 층 제거 프로세스 이전에 제거된다.
일 실시예에서, 불소-함유 가스, 산소-함유 가스, 또는 이들의 조합으로부터 형성되는 플라즈마에 탄소 함유 잔류물들(312)을 노출시킴으로써, 탄소 함유 잔류물들(312)이 하드 마스크 층(306)의 표면으로부터 제거된다. 예를 들어, 탄소 함유 잔류물들은, 약 100:1의 비율을 갖는, 산소 가스 및 NF3로부터 형성되는 플라즈마를 이용하여 제거될 수 있다. 플라즈마 내에서 요구되는 불소의 양은, 탄소 함유 잔류물들(312) 내에 존재하는 실리콘의 양에 따라 증가된다.
탄소 함유 잔류물들 제거 프로세스 동안, 산소 가스 및 NF3 가스를 이용하여 원격으로(remotely) 발생된 플라즈마가, 300 밀리미터 기판당 약 1 sccm 내지 약 15,000 sccm, 예를 들어 약 100 sccm 내지 약 5,000 sccm의 유량으로 프로세스 챔버에 제공된다. 산소 대 NF3의 비율은 약 100:1 내지 약 1000:1 이다. 기판이 750℃ 미만의 온도로 유지되는 동안, 프로세스 챔버 내의 압력은 약 1 millitorr 내지 760 Torr 범위 내의 압력, 이를 테면 약 4 millitorr 내지 약 10 Torr로 유지된다. 산소 및 NF3가 탄소 함유 잔류물들(312)과 반응하여, 휘발성 화합물을 형성하며, 이러한 휘발성 화합물은 이후 프로세스 챔버로부터 배출된다. 이러한 조건들 하에서, 탄소 함유 잔류물들(312)은 분당 약 2,000 옹스트롬 내지 분당 약 10,000 옹스트롬의 레이트로 제거된다. 기판의 표면으로부터의 제거를 확실하게 하기 위해, 탄소 함유 잔류물들(312)이 과식각될(over-etched) 수 있음이 고려된다.
단계(206)에서, 기판(190)으로부터 탄소 함유 잔류물들(312)을 제거한 후, 다음으로, 도 3b에 나타낸 바와 같이, 기판(190) 상에서 수증기 플라즈마 처리 프로세스를 수행함으로써 하드 마스크 층(306)이 제거된다. 플라즈마 처리에서 발생되는 수증기는 산화제(oxidizer)(
Figure pct00008
), 환원제(reducer)(
Figure pct00009
), 및 히드록실기들(OH)을 효율적으로 형성할 수 있다. 산소 산화제는 하드 마스크 층으로부터의 탄소 원소와 반응하여 휘발성 화합물(예를 들어, CO2)(이 화합물은 챔버로부터 배출될 수 있음)을 형성할 수 있는 한편, 수소 환원제는, 만일 있는 경우, 하드 마스크 층(306) 내의 붕소와 반응하여 휘발성 화합물(예를 들어, B2H6)(이 화합물은 이후 챔버로부터 배출됨)을 형성할 수 있는 것으로 여겨진다. 수증기로부터 제공되는 히드록실기들(OH) 및 H2O 엘리먼트(element)는 제거 프로세스와 함께 표면 특성들을 효율적으로 변경시킬 수 있으며, 그에 따라 히드록실기들로부터의 환원제 및 산화제가 하드 마스크 층 내로 계속해서 액침(immersing) 및 흡수되고 제거 프로세스의 지속을 강화하는 것을 효율적으로 돕는다. 예를 들어, 히드록실기들은 하드 마스크 층 제거 프로세스 도중에 표면 습윤 성능을 효율적으로 바꿀 수 있으며, 그에 의해 상이한 습윤 능력(wetting ability)을 갖는 표면을 효율적으로 제공할 수 있는 것으로 여겨진다. 히드록실기들은 하드 마스크 층(306)과 반응할 때 습윤각을 감소시킬 수 있으며, 그에 의해 결과적으로, 기판 표면으로부터 탄소 원소들을 제거하는 것을 돕기 위해 필름 표면이 더욱 친수성(hydrophilic)이 되는 것으로 여겨진다. 친수성 표면은 수증기 플라즈마로부터의 수소 또는 산소 이온들이 하드 마스크 층 내로 침투(penetrate)하도록 도울 수 있으며, 그에 의해 하드 마스크 층을 효율적으로 해리(dissociate)시키고 그리고 그 하드 마스크 층을 기판 표면으로부터 제거할 수 있다. 도 4a 및 4b에 도시된 실시예에서, 도 4a에 나타낸 바와 같이, 기판 표면(190)에 대해 큰(high) 습윤각(α1)(예를 들어, 소수성(hydrophobic) 표면)을 갖는 제1 액체 전구체(402)는, 기판 표면(190) 상에 배치된 필름 층 내의 원소들과 반응하기 위해 기판 표면(190) 내로 흡수되거나 침투되는 것이 아니라, 제1 액체 전구체(402)가 기판 표면(190) 상에 축적(accumulate)되고 집결(aggregate)되도록 초래할 것이다. 그에 반하여, 도 4b에 나타낸 바와 같이, 기판 표면(190)에 대해 작은(low) 습윤각(α2)(예를 들어, 친수성 표면)을 갖는 제2 액체 전구체(404)는, 기판(190) 상에 배치된 필름 층 내로 제2 액체 전구체(404)가 반응, 흡수 및 침투하는 것을 도울 것이며, 그에 의해, 기판 표면으로부터 이러한 필름 층을 제거하기 위해 기판 표면과 제2 액체 전구체(404) 간의 원소 반응들을 효율적으로 개선시킬 것이다. 따라서, 하드 마스크 층(306)을 제거하기 위해 수증기 플라즈마 프로세스를 이용함으로써, 수증기 플라즈마는 친수성 표면을 갖는 하드 마스크 층(306)을 효율적으로 만들 수 있으며, 그에 의해 하드 마스크 층(306)의 세정 및/또는 제거 효율을 효율적으로 개선시킬 수 있다.
일 실시예에서, 기판 표면에 대한 전구체의 습윤각(예를 들어, 수증기 플라즈마에 노출할 때에 제어되는 표면 습윤각)은, 양호한 필름 제거 효율을 유지하기 위해, 약 40도 미만, 이를 테면 약 0도 내지 약 40도로 제어될 수 있다.
또한, 프로세스 압력을 중간 압력 레짐(median pressure regime), 이를 테면 약 1 Torr 내지 약 120 Torr로 제어하는 것이, 패턴들이 형성되는 기판 표면을 더 친수성 표면이 되도록 초래하는 것을 또한 도울 수 있고, 그에 의해 하드 마스크 층(306)의 세정 및/또는 제거 효율을 도울 수 있는 것으로 또한 여겨진다. 프로세싱 동안의 중간 압력 레짐은 프로세스 조건(process condition)을 요구되는 방식으로 제어하는 것을 도울 수 있는 것으로 여겨진다. 일 실시예에서, 수증기 플라즈마 처리 프로세스 동안 제어되는 프로세스 압력은 1 Torr 내지 약 120 Torr, 이를 테면 약 20 Torr 내지 약 100 Torr 이다. 하드 마스크 층(306) 상에서 수증기 플라즈마 제거가 수행되는 예시적인 실시예에서, 프로세스 압력은 약 40 Torr 내지 약 70 Torr로 제어될 수 있다. 실리콘 함유 층, 이를 테면 실리콘 산화물 층, 예를 들어 컨택 구조 내의 실리콘 산화물 층 상에서 수증기 플라즈마 처리 프로세스가 수행되는 다른 예시적인 실시예에서, 프로세스 압력은 약 1 Torr 내지 약 7 Torr로 제어될 수 있다.
동작에 있어서, 몇 개의 프로세스 파라미터들이 또한 제어될 수 있다. 일 예에서, 수증기는 연속적 모드(continuous mode) 또는 펄스형 모드(pulsed mode)로 프로세스 챔버 내로 발생 및 공급될 수 있다. 일 실시예에서, 수증기는 약 5 sccm 내지 약 30000 sccm의 유량으로 프로세스 챔버 내로 연속적 모드로 발생될 수 있다. 반응을 위하여 수증기를 해리시키기 위해, 약 5 와트 내지 약 5000 와트의 RF 전력이 프로세스 챔버 내에 공급될 수 있다. 챔버 압력은 약 760 Torr 미만, 이를 테면 약 1 Torr 내지 약 150 Torr로 제어될 수 있다. 기판 온도는 약 0℃ 내지 약 760℃로 제어될 수 있다. 기판은 약 1초 내지 약 36000초 동안 수증기 플라즈마에 노출될 수 있다.
수증기가 펄스형 모드로 프로세스 챔버 내로 공급되는 실시예에서, 수증기는 약 30초 동안 지속되도록 대략 매 60초 마다 프로세스 챔버 내로 펄스화될 수 있다. 듀티 사이클(duty cycle)들은 요구되는 바에 따라 약 1 퍼센트 내지 약 100 퍼센트로 제어될 수 있다.
또한, 단계(206)에서 수증기 플라즈마 처리 프로세스를 수행하는 동안, 반응 효율을 높이기 위해 다른 상이한 가스 소스들이 또한 프로세스 챔버 내로 공급될 수 있다. 일 예에서, 수증기는 물 및 추가의(further) O2 가스를 함유한다. 다른 예에서, 수증기는 물 및 추가의 H2 가스를 함유한다. 또 다른 실시예에서, 수증기는, 요구되는 바에 따라, 물 그리고 추가의 O2 및 H2 가스를 함유한다. 수소의 부가는, 특히, 탄소와 비교하여 붕소를 더 높은 농도로 함유하는 붕소-탄소 하드 마스크 층(306)에서, 하드 마스크 층(306)의 제거 레이트를 증가시키는 것으로 발견되었다. 다른 캐리어 가스들, 이를 테면 헬륨, 아르곤 또는 질소의 부가는, 붕소-탄소 하드 마스크 층(306)의 제거 레이트를 낮추면서, 동시에 식각 균일성을 개선하는 것으로 관찰되었다. 다른 실시예에서, 수증기는 탄소 필름, 이를 테면, 실질적으로 어떠한 붕소도 함유하지 않는 비정질 탄소를 제거하는 데에 이용될 수 있는 것으로 고려된다. 대안적으로, 수증기는 붕소 필름, 이를 테면, 실질적으로 어떠한 탄소도 함유하지 않는 비정질 붕소를 벗겨내는 데에 이용될 수 있는 것으로 고려된다.
플라즈마 처리 프로세스를 수행하기 위해 프로세스 챔버 내로 수증기와 함께 산소 함유 가스가 또한 공급될 수 있는 실시예에서, 산소를 제공하는 임의의 화합물, 이를 테면 O2, N2O, CO2, NO 또는 NO2가 붕소-탄소 하드 마스크 층 제거 프로세스를 수행하기 위해 단계(206)에서 수증기와 함께 이용 및 공급될 수 있는 것으로 고려된다. 플라즈마 처리 프로세스를 수행하기 위해 프로세스 챔버 내로 수증기와 함께 수소 함유 가스가 또한 공급될 수 있는 실시예에서, 수소를 제공하는 임의의 화합물, 이를 테면 H2, NH3, 또는 H2O2가 단계(206)에서 이용될 수 있는 것으로 고려된다. 하드 마스크 층이 이러한 수증기 플라즈마 처리 프로세스에서 제거될 목표 재료(target material)가 될 뿐만 아니라, 또한, 반도체 디바이스 내의 구조들, 이를 테면 컨택 구조들, 금속 게이트 구조들, 또는 금속 컨택 구조들 상에 남아있는, 식각 동안 형성될 수 있는 다른 모든 식각 잔류물들이 또한, 요구되는 바에 따라, 이러한 수증기 플라즈마 처리 프로세스를 이용하여 제거 또는 세정될 수 있음이 주목된다.
일 실시예에서, H2O2를 포함하는, 산소와 수소의 비-화학량론적인 조합(non-stoichiometric combination)들(예를 들어, HxOy, 여기서 x 및 y는, 둘 모두 1 보다 큰, 정수들 또는 비정수(non-integer)들일 수 있음)이 WVG 시스템(152)에 입력될 수 있거나 또는 WVG 시스템(152)에 의해 발생될 수 있음이 고려된다. 이러한 실시예에서, 약간의(some) 과산화수소가 WVG 시스템(152)에 의해 발생될 수 있다. 다른 실시예에서, 산소 가스, 헬륨 가스, 질소 가스, 아르곤 가스, 아산화질소 가스, 및/또는 수소 가스가, 요구되는 바에 따라, 수증기에 부가하여 프로세스 챔버에 제공될 수 있음이 고려된다.
일 실시예에서, 용량성으로 결합되는(capacitively coupled) 수증기 플라즈마를 발생시킬 때, 챔버 내에 위치되는 샤워헤드(120)와 기판(190) 사이의 간격은 약 20 mils 내지 약 600 mils의 범위 내에 있을 수 있다. 기판과 샤워헤드 간의 감소된 간격은, (예를 들어, 약 7 Torr 보다 큰) 더 높은 압력들 하에서, 보다 큰 용적들의 기판들을 프로세싱할 때(예를 들어, 대면적(large area) 기판들을 프로세싱할 때) 유익하다. 약 7 Torr 보다 큰 압력들에서 기판들을 프로세싱할 때, 감소된 간격은 플라즈마 지속가능성(sustainability)을 촉진시킨다. 일 예에서, 기판을 약 30 Torr에서 프로세싱할 때, 기판과 페이스 플레이트(face plate) 간의 간격은 약 300 mils 일 수 있다. 40 Torr에서, 기판과 페이스 플레이트 간의 간격은 약 240 mils 내지 약 270 mils의 범위 내에 있을 수 있다. 약 50 Torr의 압력에서, 기판과 페이스 플레이트 간의 간격은 200 mils 미만일 수 있다.
단계(204)에서 수행되는 탄소 함유 잔류물들 제거 프로세스는, 단계(206)에서 설명되는 바와 같은 하드 마스크 층(306)을 제거하도록 구성된 챔버와 동일한 챔버에서 실행될 수 있음이 주목된다. 다른 실시예에서, 단계(204)는 별개의 챔버, 이를 테면 식각 챔버에서 일어날 수 있으며, 그리고 단계(206)에서 하드 마스크 층(306) 제거 프로세스를 수행하기 위해 기판을 프로세스 챔버에 배치하기 전에 일어날 수 있음이 고려된다. 또한, 단계(204)에서의 프로세스는 단계(206)에서의 프로세스와 동시에 일어날 수 있음이 주목된다. 다시 말해서, 프로세싱 챔버 내에서 수증기 플라즈마 처리가 수행될 때, (단계(206)에서 설명되는) 하드 마스크 층(306)이 제거될 뿐만 아니라, (단계(204)에서 설명되는) 탄소 함유 잔류물들 또는 부산물들이 또한 제거될 수 있다. 따라서, 하나의 수증기 플라즈마 프로세스를 수행함으로써, (단계(204)에서 설명되는) 탄소 함유 잔류물들 또는 부산물들과 (단계(206)에서 설명되는) 하드 마스크 층(306)의 양자 모두가 동시에 제거될 수 있다.
일 실시예에서, 단계(204)의 플라즈마 및 단계(206)의 수증기 플라즈마 프로세스는, 원격으로 발생되는 것에 부가하여 또는 원격으로 발생되는 것에 대한 대안으로서, 용량적으로 결합되거나 유도적으로 결합될 수 있음이 고려된다. 예를 들어, 용량적으로 결합되는 플라즈마는 수증기 및 비활성 가스로부터 발생될 수 있음이 고려된다. 수증기는, 약 5 sccm 내지 약 3000 sccm의 유량으로 챔버에 도입될 수 있다. Ar 또는 He와 같은 비활성 가스가, 약 5 sccm 내지 약 3000 sccm, 이를 테면 약 1000 sccm의 유량으로 챔버에 제공될 수 있다. 다른 실시예에서, 수증기는 요구되는 바에 따라 인시츄 스팀 발생(in situ steam generation)에 의해 발생될 수 있음이 고려된다.
이온 주입 프로세스 이후 도핑되는 도펀트들을 갖는 포토레지스트 층 및/또는 붕소-탄소 층의 실시예에서, 수증기 플라즈마 프로세스(200)는 또한 요구되는 바에 따라 이러한 층을 세정 또는 제거하기 위해 이용될 수 있다.
일 실시예에서, 수증기 플라즈마 프로세스(200)는, 도 5에 나타낸 바와 같이, 기판(502) 상의 전계 효과 트랜지스터들(504) 상에 배치되는 컨택 구조로서 유전체 층(506) 내에 형성되는, 약 20:1 보다 큰, 이를 테면 약 100:1의 종횡비들을 가지는 피쳐들(508)을 갖는 컨택 구조(570)를 세정하는 데에 이용될 수 있다. 유전체 층(506)은 실리콘 산화물 함유 층일 수 있다. 유전체 층(506)을 위한 다른 적합한 재료들은 도핑되지 않은 실리콘 유리(USG), 이를 테면 실리콘 산화물 또는 TEOS, 붕소-실리케이트 유리(BSG), 인-실리케이트 유리(PSG), 붕소-인-실리케이트 유리(BPSG) 및 이들의 조합들을 포함한다. 본원에서 도시되는 예시적인 실시예에서, 유전체 층(506)은 도핑되지 않은 실리콘 유리(USG) 층이다. 일 실시예에서, 유전체 층(506)은 약 3000Å 내지 약 15000Å, 이를 테면 약 4000Å 내지 약 12000Å, 예를 들어 약 10000Å의 두께를 갖는다. 또한, 수증기 플라즈마는 또한, 유전체 층(506)의 표면 뿐 아니라, 컨택 구조들(570) 상에 배치되는 전계 효과 트랜지스터들(504)의 표면들을 세정하는 데에 이용될 수 있으며, 상기 컨택 구조들(570)은, 종종, 니켈 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 탄탈륨 실리사이드, 티타늄 실리사이드 및 다른 적합한 금속 실리사이드 재료들로 이루어진 그룹으로부터 선택되는 금속 실리사이드 층이다. 몇몇 실시예에서, 금속 실리사이드 층은, 식각 중지 층으로서 상부에 배치되는 실리콘 질화물 층을 가질 수 있다. 유전체 층(506) 내에 형성되는 피쳐들(508)은 훨씬 더 큰 종횡비를 갖거나 세정하기가 어려운 기하형상(geometry)을 가질 수 있기 때문에, 수증기 플라즈마 처리 프로세스를 이용함으로써, 이후의 금속 증착 프로세스 이전에 기판 표면을 세정(이를 테면, 컨택 예비-세정(contact pre-cleaning) 프로세스 또는 식각-후(post-etch) 잔류물 세정)하기 위해, 수증기가 피쳐 바닥(예를 들어, 비아/트렌치 바닥)까지 더 아래로 공급될 수 있다. 플라즈마 처리 프로세스에서 공급되는 수증기는 최소의 금속 산화 효과를 가질 수 있으며, 그에 의해, 컨택 구조를 완료하기 위해 이후의 금속 층이 상부에 쉽게 형성되도록 낮은 컨택 저항 표면을 유지할 수 있는 것으로 여겨진다.
표면 예비-세정 프로세스 이후, 피쳐들(508)은 이후의 프로세스들에서 기판 상에 배선 구조를 형성하기 위해 이후 금속 재료들로 채워지거나 증착될 수 있다. 금속 재료들의 예들은 텅스텐(W), 텅스텐 질화물(WN), 구리(Cu), 티타늄(Ti), 탄탈륨(Ta), 알루미늄(Al), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 등을 포함한다. 대안적으로, 프로세스(200)는, 요구되는 바에 따라, 다른 구조들(이를 테면, 금속 게이트 구조들 또는 임의의 다른 적합한 구조들), 및 일부 비-금속 오염물질(non-metal contamination)들(이를 테면, 불소, 염소, 및 황 오염물질들)을 세정 또는 제거하는 데에 이용될 수 있다. 또 다른 실시예에서, 프로세스(200)는, 요구되는 바에 따라, 요구되는 범위 내에서 표면 습윤각을 변경하기 위해, 표면 처리, 챔버 벽 패시베이션, 또는 표면 패시베이션을 행하는 데에 이용될 수 있다. 챔버 벽이 Al2O3를 포함하는 실시예에서, 프로세스(200)는, 이를 테면 불소 원소들과 같은 강한 화학물질들(harsh chemicals)로부터 챔버 내부를 보호하기 위해 수행될 수 있다. 또한, 프로세스(200)는, 붕소 필름, 탄소 필름, 또는 붕소 및 탄소 함유 필름 잔류물들에 대한 기판 베벨 제거 프로세스(substrate bevel removal process)를 포함하는 기판 베벨 스트립(substrate bevel strip)을 세정하는 데에 또한 이용될 수 있다.
이를 테면, 증착 챔버 및 식각 챔버와 같은 모든 종류들의 프로세싱 챔버를 포함하는 프로세싱 챔버 벽이, 붕소-탄소 층, 탄소 층 또는 붕소 층 증착 프로세스들의 사이클들 이후 붕소-탄소 층 빌드업(build-up), 탄소 층 빌드업, 또는 붕소 층 빌드업 또는 다른 축적물(accumulation)을 가질 수 있는 몇몇 경우들에서, 수증기 플라즈마 프로세스(200)는 요구되는 바에 따라 이러한 층을 세정 또는 제거하는 데에 또한 이용될 수 있음이 주목된다. 부가적으로, 수증기 플라즈마 프로세스(200)는 또한, 식각 프로세스들 또는 증착 프로세스들의 사이클들 이후 챔버 벽 보호를 제공하거나 챔버 벽 패시베이션을 도울 수 있다.
본원에서 설명되는 방법들의 이득들은, 기판 상에 위치되는 하부의 금속 층들 또는 재료들을 손상시키지 않으면서 재료 층을 제거하거나 기판 표면을 세정하는 것을 포함한다. 이러한 제거 및/또는 세정 방법들은, 플라즈마 조성을 변화시킴으로써 식각 레이트 뿐만 아니라 식각 균일성이 제어될 수 있도록 허용한다. 본원에서 설명되는 바와 같은 수증기 플라즈마 처리 프로세스는 또한, 요구되는 바에 따라, 임의의 재료들을 갖는 기판 표면을 세정하는 데에 이용될 수 있음이 주목된다.
전술한 내용들이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (17)

  1. 기판의 표면을 세정하기 위한 방법으로서,
    컨택 구조를 갖는 기판을 프로세싱 챔버 내에 배치하는(positioning) 단계 ― 상기 기판은, 상기 기판 상에 배치되어 상기 기판 상에 개구(opening)들을 형성하는 유전체 층을 가짐 ― ;
    수증기 내에서 플라즈마를 형성하기 위해, 상기 기판 상에 배치된 상기 유전체 층을 상기 챔버 내로 공급되는 상기 수증기에 노출시키는 단계;
    상기 챔버 내의 프로세스 압력을 약 1 Torr 내지 약 120 Torr로 유지하는 단계; 및
    상기 기판 상에 형성된 상기 컨택 구조를 세정하는 단계
    를 포함하는,
    기판의 표면을 세정하기 위한 방법.
  2. 제1 항에 있어서,
    상기 컨택 구조를 형성하는 데에 이용되는 상기 유전체 층은,
    도핑되지 않은 실리콘 유리(USG), 붕소-실리케이트 유리(BSG), 인-실리케이트 유리(PSG), 붕소-인-실리케이트 유리(BPSG) 및 이들의 조합들로 이루어진 그룹으로부터 선택되는,
    기판의 표면을 세정하기 위한 방법.
  3. 제1 항에 있어서,
    상기 유전체 층을 노출시키는 단계는,
    약 40도 미만의 습윤각(wetting angle)을 갖도록 상기 유전체 층의 표면을 제어하는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  4. 제3 항에 있어서,
    상기 유전체 층을 노출시키는 단계는,
    친수성 표면(hydrophilic surface)을 갖도록 상기 유전체 층의 표면을 변경하는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  5. 제1 항에 있어서,
    상기 유전체 층을 상기 수증기에 노출시키는 단계는,
    상기 수증기와 함께 산소 함유 가스 또는 수소 함유 가스를 상기 챔버 내로 공급하는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  6. 제1 항에 있어서,
    상기 유전체 층을 노출시키는 단계는,
    상기 수증기 내에서 플라즈마를 형성하기 위해 약 5 와트 내지 약 5000 와트의 RF 전력을 인가하는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  7. 제1 항에 있어서,
    상기 유전체 층을 노출시키는 단계는,
    상기 수증기에 노출시키기 이전에, 상기 유전체 층을 탄소-불소 함유 가스에 노출시키는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  8. 제1 항에 있어서,
    상기 수증기는 아르곤, 헬륨 또는 질소의 존재하에서 발생되는,
    기판의 표면을 세정하기 위한 방법.
  9. 제1 항에 있어서,
    상기 유전체 층을 상기 수증기에 노출시키는 단계는,
    상기 수증기를 상기 챔버에 펄스형 모드(pulsed mode)로 공급하는 단계를 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  10. 제1 항에 있어서,
    상기 기판 상에 개구들을 형성하는 것은, 하부의(underlying) 컨택 금속 또는 컨택 금속 실리사이드 층 또는 컨택 실리콘 질화물 층을 노출시키는, 상기 유전체 층 내의 개구들을 형성하는 것을 더 포함하는,
    기판의 표면을 세정하기 위한 방법.
  11. 제10 항에 있어서,
    상기 챔버에 공급되는 상기 수증기는, 상기 기판 상에 배치되는 상기 유전체 층 뿐만 아니라, 상기 컨택 금속 또는 컨택 금속 실리사이드 층 또는 컨택 실리콘 질화물 층을 세정하는,
    기판의 표면을 세정하기 위한 방법.
  12. 기판 표면을 세정하기 위한 방법으로서,
    유전체 층이 상부에 배치되어 있는 기판을 챔버 내에 배치하는 단계;
    수증기 내에서 플라즈마를 형성하기 위해, 상기 챔버 내로 공급되는 수증기에 상기 유전체 층을 노출시키는 단계;
    약 40도 미만의 습윤각을 갖도록 상기 유전체 층의 표면을 제어하는 단계; 및
    상기 기판으로부터 상기 유전체 층을 세정하는 단계
    를 포함하는,
    기판 표면을 세정하기 위한 방법.
  13. 제12 항에 있어서,
    상기 수증기에 상기 유전체 층을 노출시키는 단계는,
    상기 챔버 내의 프로세스 압력을 약 1 Torr 내지 약 120 Torr로 유지하는 단계를 더 포함하는,
    기판 표면을 세정하기 위한 방법.
  14. 제12 항에 있어서,
    상기 수증기에 상기 유전체 층을 노출시키는 단계는,
    수증기에 RF 전력을 인가하는 단계를 더 포함하는,
    기판 표면을 세정하기 위한 방법.
  15. 제12 항에 있어서,
    상기 수증기에 상기 유전체 층을 노출시키는 단계는,
    상기 수증기와 함께 산소 함유 가스 및/또는 수소 함유 가스를 프로세스 챔버 내로 유동시키는 단계를 더 포함하고,
    상기 산소 함유 가스는 O2, N2O, CO2, NO 또는 NO2로 이루어진 그룹으로부터 선택되며, 그리고 상기 수소 함유 가스는 H2, H2O2 또는 NH3로 이루어진 그룹으로부터 선택되는,
    기판 표면을 세정하기 위한 방법.
  16. 프로세싱 챔버를 세정하기 위한 방법으로서,
    프로세싱 챔버를 제공하는 단계 ― 상기 프로세싱 챔버의 내부에는 탄소 함유 잔류물들이 형성되어 있음 ― ;
    수증기 내에서 플라즈마를 형성하기 위해, 상기 프로세싱 챔버에 커플링된 수증기 발생기로부터 발생되는 수증기를 상기 프로세싱 챔버 내로 공급하는 단계; 및
    상기 프로세싱 챔버로부터 상기 탄소 함유 잔류물들을 제거하는 단계
    를 포함하는,
    프로세싱 챔버를 세정하기 위한 방법.
  17. 제16 항에 있어서,
    탄소 함유 가스는 붕소 탄소 층인,
    프로세싱 챔버를 세정하기 위한 방법.
KR1020147013951A 2011-11-08 2012-11-06 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들 KR102033707B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/291,286 US9653327B2 (en) 2011-05-12 2011-11-08 Methods of removing a material layer from a substrate using water vapor treatment
US13/291,286 2011-11-08
PCT/US2012/063651 WO2013070570A1 (en) 2011-11-08 2012-11-06 Methods of removing a material layer from a substrate using water vapor treatment

Publications (2)

Publication Number Publication Date
KR20140089383A true KR20140089383A (ko) 2014-07-14
KR102033707B1 KR102033707B1 (ko) 2019-10-17

Family

ID=47141035

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147013951A KR102033707B1 (ko) 2011-11-08 2012-11-06 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들

Country Status (5)

Country Link
US (1) US9653327B2 (ko)
JP (1) JP6158199B2 (ko)
KR (1) KR102033707B1 (ko)
TW (1) TWI636501B (ko)
WO (1) WO2013070570A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102528303B1 (ko) * 2021-11-22 2023-05-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
WO2024085563A1 (ko) * 2022-10-17 2024-04-25 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
KR20160002059A (ko) * 2014-06-30 2016-01-07 삼성전자주식회사 하드 마스크 제거 방법
US9390923B2 (en) 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9685383B2 (en) * 2015-05-13 2017-06-20 United Microelectronics Corp. Method of forming semiconductor device
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6854611B2 (ja) * 2016-01-13 2021-04-07 東京エレクトロン株式会社 基板処理方法、基板処理装置及び基板処理システム
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6971267B2 (ja) * 2016-06-20 2021-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 高温で処理チャンバ内のホウ素―炭素残留物を除去するための洗浄プロセス
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
KR102456820B1 (ko) * 2016-12-26 2022-10-19 도쿄엘렉트론가부시키가이샤 기판 처리 방법, 기판 처리 장치, 기판 처리 시스템, 기판 처리 시스템의 제어 장치, 반도체 기판의 제조 방법 및 반도체 기판
JP6914143B2 (ja) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム、基板処理システムの制御装置および半導体基板の製造方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7190450B2 (ja) * 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10707070B2 (en) * 2017-09-29 2020-07-07 Tokyo Electron Limited Methods and systems for coating a substrate with a fluid
US10804109B2 (en) 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
CN112219260A (zh) 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
CN112335017B (zh) 2018-11-16 2024-06-18 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
US20230100863A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Water vapor plasma to enhance surface hydrophilicity

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11176814A (ja) * 1997-12-12 1999-07-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2005328075A (ja) * 1995-12-28 2005-11-24 Seiko Epson Corp 表面処理方法および表面処理装置
KR100727418B1 (ko) * 2001-01-16 2007-06-13 가부시키가이샤 히타치세이사쿠쇼 드라이 세정방법
JP2011517368A (ja) * 2008-02-29 2011-06-02 アプライド マテリアルズ インコーポレイテッド 基板からポリマーを除去するための方法及び装置

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262262A (en) 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH05217965A (ja) 1992-01-22 1993-08-27 Nec Corp 半導体装置の製造方法
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759913A (en) 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
CN1252810C (zh) 1997-01-21 2006-04-19 B·F·谷德里奇公司 用于超低电容互连的有空气隙的半导体装置的制造
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US5981000A (en) 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
JP2000106396A (ja) 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
KR100316721B1 (ko) 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040229470A1 (en) 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060199393A1 (en) 2004-06-29 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. H20 plasma and h20 vapor methods for releasing charges
KR100533978B1 (ko) 2004-06-30 2005-12-07 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100637689B1 (ko) 2005-04-21 2006-10-24 주식회사 하이닉스반도체 고상에피택시 방식을 이용한 반도체소자의 콘택 형성 방법
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
KR20070081649A (ko) 2006-02-13 2007-08-17 삼성전자주식회사 반도체 소자의 제조 방법
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US7771541B2 (en) * 2007-03-22 2010-08-10 International Business Machines Corporation Method of removing metallic, inorganic and organic contaminants from chip passivation layer surfaces
US8337950B2 (en) 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US20110108058A1 (en) 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005328075A (ja) * 1995-12-28 2005-11-24 Seiko Epson Corp 表面処理方法および表面処理装置
JPH11176814A (ja) * 1997-12-12 1999-07-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
KR100727418B1 (ko) * 2001-01-16 2007-06-13 가부시키가이샤 히타치세이사쿠쇼 드라이 세정방법
JP2011517368A (ja) * 2008-02-29 2011-06-02 アプライド マテリアルズ インコーポレイテッド 基板からポリマーを除去するための方法及び装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102528303B1 (ko) * 2021-11-22 2023-05-04 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
WO2024085563A1 (ko) * 2022-10-17 2024-04-25 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JP6158199B2 (ja) 2017-07-05
US20120285481A1 (en) 2012-11-15
KR102033707B1 (ko) 2019-10-17
TW201330085A (zh) 2013-07-16
TWI636501B (zh) 2018-09-21
US9653327B2 (en) 2017-05-16
WO2013070570A1 (en) 2013-05-16
JP2015504239A (ja) 2015-02-05

Similar Documents

Publication Publication Date Title
KR102033707B1 (ko) 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
US6331380B1 (en) Method of pattern etching a low K dielectric layer
US6946401B2 (en) Plasma treatment for copper oxide reduction
US6080529A (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
TWI363255B (en) Method for removing masking materials with reduced low-k dielectric material damage
JP2018511166A (ja) パターニングのためのマスクエッチング
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
TW201901752A (zh) 半導體裝置的製造方法
TWI321346B (en) Method of forming metal line in semiconductor device
KR20070089082A (ko) 기판의 부식을 제어하기 위한 방법
TWI713082B (zh) 透過限制達成的矽化物相控制
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
KR20060123144A (ko) 포토레지스트 스트립 동안의 배리어 재료의 손실 최소화
WO2000024048A1 (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US7732304B2 (en) Method of manufacturing semiconductor device
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
JP5218214B2 (ja) 半導体装置の製造方法
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
JP3129251B2 (ja) コンタクトプラグ形成方法
KR20220163878A (ko) 금속 피처들의 원자 층 에칭
TW200807619A (en) Method of fabricating dual damascene structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right