JP6058664B2 - 低応力ビア - Google Patents

低応力ビア Download PDF

Info

Publication number
JP6058664B2
JP6058664B2 JP2014522989A JP2014522989A JP6058664B2 JP 6058664 B2 JP6058664 B2 JP 6058664B2 JP 2014522989 A JP2014522989 A JP 2014522989A JP 2014522989 A JP2014522989 A JP 2014522989A JP 6058664 B2 JP6058664 B2 JP 6058664B2
Authority
JP
Japan
Prior art keywords
component
metal
substrate
conductive
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014522989A
Other languages
English (en)
Other versions
JP2014526149A5 (ja
JP2014526149A (ja
Inventor
イリヤス モハメド
イリヤス モハメド
ベルガセム ハーバ
ベルガセム ハーバ
キプリアン ウゾ
キプリアン ウゾ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeia Semiconductor Solutions LLC
Original Assignee
Tessera LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tessera LLC filed Critical Tessera LLC
Publication of JP2014526149A publication Critical patent/JP2014526149A/ja
Publication of JP2014526149A5 publication Critical patent/JP2014526149A5/ja
Application granted granted Critical
Publication of JP6058664B2 publication Critical patent/JP6058664B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/1012Auxiliary members for bump connectors, e.g. spacers
    • H01L2224/10122Auxiliary members for bump connectors, e.g. spacers being formed on the semiconductor or solid-state body to be connected
    • H01L2224/10135Alignment aids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/0781Adhesive characteristics other than chemical being an ohmic electrical conductor
    • H01L2924/07811Extrinsic, i.e. with electrical conductive fillers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

(関連出願の相互参照)
本出願は、2011年7月29日に出願された米国特許出願第13/193,814号の継続出願であり、同文献の開示は本明細書において参照により援用されている。
本発明は、超小型電子デバイスのパッケージングに関し、特に、半導体デバイスのパッケージングに関する。
超小型電子素子は、通常、ダイ又は半導体チップと呼ばれるシリコン若しくはヒ化ガリウムなどの半導体材料の薄スラブを一般的に含む。半導体チップは一般に、個別のあらかじめパッケージ化されたユニットとして提供される。一部のユニット設計では、半導体チップは、基板又はチップキャリアに実装され、その基板又はチップキャリアも同様に、プリント回路基板などの回路パネル上に実装される。
半導体チップの第1面(例えば、前面)内には、能動回路が製造される。この能動回路への電気的接続を促進するために、そのチップには、同じ面上にボンドパッドが設けられる。ボンドパッドは、典型的には、ダイの縁部の周囲に、又は多くのメモリ素子に関してはダイの中心に、規則的な配列で定置される。ボンドパッドは一般的には、厚さ約0.5μmの、銅又はアルミニウムなどの導電性金属から作られる。ボンドパッドは、単一層又は複数層の金属を含み得る。ボンドパッドのサイズは、デバイスのタイプによって異なるものとなるが、典型的には、1辺が数十〜数百ミクロンの寸法となる。
ボンドパッドと、半導体チップの第1面とは反対側の第2面(例えば、裏面)とを接続するために、シリコン貫通ビア(TSV)が使用される。従来のビアは、半導体チップを貫通する穴、及びその穴を貫通して第1面から第2面まで延在する導電材料を含む。ボンドパッドが、ビアに電気的に接続されることにより、ボンドパッドと半導体チップの第2面上の導電要素との通信を、可能にすることができる。
従来のTSVの穴は、能動回路を収容するために使用可能な第1面の部分を、低減する場合がある。能動回路のために使用することができる、第1面上の利用可能空間の、そのような低減は、各半導体チップを製造するために必要とされるシリコンの量を増大させる可能性があり、このことにより、各チップのコストが増大する恐れがある。
従来のビアは、ビア内側の非最適な応力分布、及び半導体チップと、例えば、そのチップが接合される構造体との、熱膨張係数(CTE)の不整合のために、信頼性に課題を有する場合がある。例えば、半導体チップ内部の導電ビアが、比較的薄く、硬い誘電材料によって絶縁される場合、著しい応力が、ビア内部に存在し得る。更には、半導体チップが、ポリマー基板の導電要素に結合される場合、そのチップと、より高いCTEの基板の構造体との間の電気的接続は、CTEの不整合による応力を受けることとなる。
いずれのチップの物理的配置構成においても、サイズは重要な考慮事項である。よりコンパクトなチップの物理的配置構成に対する需要は、携帯用電子デバイスの急速な進歩と共に、更に高まってきている。単なる例として、通常「スマートフォン」と称されるデバイスは、高解像度ディスプレイ及び関連する画像処理チップと共に、高性能のデータ処理装置、メモリ、並びに全地球測位システム受信器、電子カメラ、及びローカルエリアネットワーク接続などの付属デバイスを、携帯電話の機能に統合する。そのようなデバイスは、完全なインターネット接続性、フル解像度のビデオなどの娯楽、ナビゲーション、エレクトロニックバンキングなどの能力を全て、ポケットサイズのデバイス内に提供することができる。複合型携帯デバイスは、小さい空間内に多数のチップを詰め込むことを必要とする。更には、一部のチップは、通常「I/O」と称される、多くの入出力接続を有する。これらのI/Oは、他のチップのI/Oと相互接続されなければならない。この相互接続は、信号伝搬遅延を最小限に抑えるために、短いものとするべきであり、かつ低インピーダンスを有するべきである。この相互接続を形成する構成要素は、そのアセンブリのサイズを著しく増大させるものとするべきではない。同様の必要性は、例えば、インターネット検索エンジンで使用されるようなデータサーバ内でのように、他の用途でも生じる。例えば、複合型チップ間に、短い、低インピーダンスの多数の相互接続子を提供する構造体により、検索エンジンの帯域幅を増大させ、その電力消費を低減することができる。
半導体のビア形成及び相互接続の点で達成されている、これらの進歩にもかかわらず、半導体チップのサイズを最小化しつつ、電気的相互接続の信頼性を高めるための改善が、依然として必要とされている。本発明のこれらの属性は、以降で説明されるような超小型電子パッケージの構築によって、達成することができる。
本発明の一態様によれば、構成要素は、前面及び前面から隔った裏面を有する基板と、裏面から前面に向かって延在する開口部と、開口部内部に延在する導電ビアと、を含み得る。基板は、10ppm/℃未満の熱膨張係数(CTE)を有し得る。開口部は、前面及び裏面の間の内面を画定することができる。導電ビアは、内面の上方に重なる第1金属層、及び第1金属層の上方に重なり、第1金属層と電気的に結合された第2金属領域を含み得る。第2金属領域は、第1金属層のCTEよりも大きいCTEを有し得る。導電ビアは、導電ビアの直径にわたって、第2金属領域のCTEの80%未満である実効CTEを有し得る。
本発明の別の態様によれば、構成要素は、前面及び前面から隔った裏面を有する基板であって、10ppm/℃未満のCTEを有する材料から本質的になる、基板と、材料を貫いて裏面から前面に向かって延在する開口部と、開口部内部に延在する導電ビアと、を含み得る。開口部は、前面及び裏面の間の内面を画定することができる。導電ビアは、内面の上方に重なる第1金属層、及び第1金属層の上方に重なり、第1金属層と電気的に結合された第2金属領域を含み得る。第1金属層は、導電ビアの直径の少なくとも10%の厚さを有することができ、第2金属領域のヤング率よりも少なくとも50%大きいヤング率を有することができる。
特定の実施形態では、基板は、開口部と前面又は裏面のうちの少なくとも一方との間の移行面を有し得る。移行面の半径は開口部の半径の5%よりも大きくなり得る。例示的な実施形態では、基板は、半導体材料、セラミック、及びガラスからなる群から選択される材料であり得る。一実施形態では、構成要素が前面において複数の導電要素も含み得る。複数の導電要素のうちの少なくとも一部は、導電ビアの各々と電気的に接続させることができる。基板は、複数の導電要素のうちの少なくとも一部と電気的に接続された複数の能動半導体デバイスを統合することができる。特定の実施形態では、第1金属層及び第2金属領域のそれぞれは、裏面と、複数の導電要素のうちの1つの導電要素の底面との間に延在し得る。
例示的な実施形態では、構成要素は、開口部の内面をコーティングする絶縁誘電体層も含み得る。誘電体層は、少なくとも開口部内部で基板から導電ビアを分離し、絶縁することができる。一実施形態では、構成要素は、第2金属領域の内面をコーティングする絶縁誘電体層も含み得る。特定の実施形態では、第2金属領域は、基板の前面に平行な横方向において導電ビアの直径の最大で80%を占有し得る。例示的な実施形態では、第1金属層は、開口部の内面の輪郭に適合する表面を有し得る。特定の実施形態では、第1金属層は、アルファ−タンタル、タングステン、ニッケル、モリブデン、チタン、タングステン含有合金、チタン含有合金、チタン及びタングステン含有合金、ニッケル含有合金、モリブデン含有合金、コバルト含有合金、チタン含有導電性化合物からなる群から選択される金属であり得る。
一実施形態では、第2金属領域は、銅及びアルミニウムからなる群から選択される金属であり得る。例示的な実施形態では、第1金属層は、平均粒径が50ナノメートル未満である粒構造を有し得る。特定の実施形態では、第1金属層は、200GPaよりも大きいヤング率を有し得る。一実施形態では、構成要素は、外部要素との相互接続のために裏面において露出した導電コンタクトも含み得る。導電コンタクトは、第1金属層及び第2金属領域と電気的に接続することができる。例示的な実施形態では、導電コンタクトは、第1及び第2金属層の、裏面の上方に重なる部分を含み得る。
特定の実施形態では、導電ビアは、第1金属層と絶縁誘電体層との間に延在する障壁金属層も含み得る。障壁金属層は、第1金属層及び第2金属領域の金属とは異なる金属であり得る。一実施形態では、第1金属層は、第2金属領域の軸方向対向面の上方に重なる部分を含み得る。例示的な実施形態では、第1金属層が第2金属領域を完全に取り囲むことができる。一実施形態では、第2金属領域は多孔性金属で作られてもよく、その内部に空隙を含み得る。特定の実施形態では、第2金属領域の上面が誘電体層でコーティングされ得る。例示的な実施形態では、第2金属領域は発泡体又は繊維材料内部の開放気泡内部に延在し得る。
本発明の更に別の態様によれば、構成要素は、前面及び前面から隔った裏面を有する基板であって、10ppm/℃未満のCTEを有する材料から本質的になる、基板と、材料を貫いて裏面から前面に向かって延在する開口部と、開口部内部に延在する導電ビアと、を含み得る。開口部は、前面及び裏面の間の内面を画定することができる。導電ビアは、内面の上方に重なり、内部に閉じ込められた複数の空洞部を有する金属領域を含み得る。
例示的な実施形態では、導電ビアは金属領域と内面との間に障壁金属層も含み得る。障壁金属層は、金属領域の金属とは異なる金属であり得る。一実施形態では、金属領域は第1金属領域であることができ、障壁金属層は第1障壁金属層であることができる。導電ビアは、第1障壁金属層の上方に重なる第2金属層、及び第2金属領域と第2金属層との間の第3障壁金属層も含み得る。特定の実施形態では、閉じ込められた空洞部は、1ミクロンよりも大きい平均直径を有し得る。例示的な実施形態では、構成要素は、外部要素との相互接続のために裏面において露出した導電コンタクトも含み得る。導電コンタクトは金属領域と電気的に接続することができる。一実施形態では、構成要素は、開口部の内面をコーティングする絶縁誘電体層も含み得る。誘電体層は、少なくとも開口部内部で基板から導電ビアを分離し、絶縁することができる。
本発明の更に別の態様によれば、構成要素は、前面及び前面から隔った裏面を有する基板であって、その内部に、前面に隣接し、前面から第1距離だけ基板内へ延在する複数の能動半導体デバイスを統合する、基板を含み得る。基板は、10ppm/℃未満のCTE、及び前面において露出した複数の導電パッドを有し得る。構成要素は、基板を貫いて裏面から、少なくとも、複数の導電パッドのうちの1つの導電パッドの底面まで延在する開口部も含み得る。開口部は、開口部内部に延在し、複数の導電パッドのうちの1つの導電パッドと電気的に接続された導電ビアを更に含み得る。導電ビアは、14ppm/℃よりも大きいCTEを有する金属である金属領域を含み得る。導電ビアは、前面から第2距離延在する凹部を有し得る。第2距離は第1距離以上であり得る。凹部は、導電ビアの直径よりも小さい最大直径を有し得る。
一実施形態では、凹部は、基板の前面に平行な横方向において、横方向で開口部の直径の少なくとも50%である最大直径を有し得る。特定の実施形態では、第2距離は0.2〜10ミクロンであり得る。例示的な実施形態では、凹部の露出面は、導電ビアの金属とは異なる材料である障壁層でコーティングされ得る。一実施形態では、障壁層は、コバルト−リン合金、ニッケル−リン合金、及びニッケル−タングステン合金からなる群から選択される金属であり得る。
本発明の更なる態様は、本発明の上述の態様による構造体、本発明の上述の態様による複合チップ、又はその両方を他の電子デバイスと併せて統合するシステムを提供する。例えば、システムは単一のハウジング内に配されてよく、ハウジングは携帯型のハウジングであってよい。本発明のこの態様の好ましい実施形態に係るシステムは、同等の従来のシステムよりもコンパクトになり得る。
本発明の別の態様によれば、構成要素の製造方法は、基板の裏面から、裏面から隔った基板の前面に向かって延在する開口部を形成する工程を含み得る。開口部は、前面及び裏面の間の内面を画定することができる。基板は、10ppm/℃未満のCTEを有する材料から本質的になることができる。本方法は、導電ビアを形成する工程であって、開口部の内面の上方に重なる第1金属層を形成する工程、及び第1金属層の上方に重なり、第1金属層と電気的に結合された第2金属領域を形成する工程を含む、工程も含み得る。第2金属領域は、第1金属層のCTEよりも大きいCTEを有し得る。導電ビアは、導電ビアの直径にわたって、第2金属領域のCTEの80%未満である実効CTEを有し得る。
本発明の更に別の態様によれば、構成要素の製造方法は、基板の裏面から、裏面から隔った基板の前面に向かって延在する開口部を形成する工程を含み得る。開口部は、前面及び裏面の間の内面を画定することができる。基板は、10ppm/℃未満のCTEを有する材料から本質的になることができる。本方法は、導電ビアを形成する工程であって、開口部の内面の上方に重なる第1金属層を形成する工程、及び第1金属層の上方に重なり、第1金属層と電気的に結合された第2金属領域を形成する工程を含む、工程も含み得る。第1金属層は、導電ビアの直径の少なくとも10%の厚さを有することができ、第2金属領域のヤング率よりも少なくとも50%大きいヤング率を有することができる。
特定の実施形態では、開口部を形成する工程は、初期内面を作り出す第1異方性エッチングプロセス、及び初期内面を、内面になるように平滑化する第2プロセスを実行する工程を含み得る。第1異方性エッチングプロセス及び第2プロセスは、開口部と前面又は裏面のうちの少なくとも一方との間の移行面を作り出すことができる。移行面の半径は開口部の半径の5%よりも大きくなり得る。一実施形態では、基板が前面において複数の導電要素も含み得る。複数の導電要素のうちの少なくとも1つは導電ビアと電気的に接続することができる。基板は、複数の導電要素のうちの少なくとも一部と電気的に接続された複数の能動半導体デバイスを統合することができる。例示的な実施形態では、第1金属層は、複数の導電要素のうちの1つの導電要素の底面と接触するように形成され得る。
一実施形態では、本方法は、導電ビアを形成する前に、開口部の内面をコーティングする絶縁誘電体層を堆積させる工程も含み得る。誘電体層は、少なくとも開口部内部で基板から第1金属層及び第2金属領域を分離し、絶縁することができる。特定の実施形態では、第2金属領域は、基板の前面に平行な横方向において開口部の直径の最大で80%を占有し得る。例示的な実施形態では、第1金属層は、開口部の内面の輪郭に適合する表面を有し得る。一実施形態では、本方法は、外部要素との相互接続のために裏面において露出した導電コンタクトを形成する工程も含み得る。導電コンタクトは、第1金属層及び第2金属領域と電気的に接続することができる。特定の実施形態では、本方法は、第1金属層を形成する前に、絶縁誘電体層の上方に重なる障壁金属層を形成する工程も含み得る。障壁金属層は、第1金属層及び第2金属領域の金属とは異なる金属であり得る。第1金属層は、障壁金属層の上方に重なるように形成され得る。
例示的な実施形態では、第1金属層は、第2金属領域の軸方向対向面の上方に重なる部分を含み得る。一実施形態では、第1金属層は第2金属領域を完全に取り囲むことができる。特定の実施形態では、第2金属領域は多孔性金属で作られることができ、その内部に空隙を含み得る。例示的な実施形態では、第2金属領域は樹枝状めっきによって形成され得る。一実施形態では、本方法は、第2金属領域の上面の上方に重なる誘電体層を堆積させる工程も含み得る。特定の実施形態では、本方法は、第2金属領域を形成する前に、第1金属層の上方に重なる発泡又は繊維材料を堆積させる工程も含み得る。第2金属領域は発泡又は繊維材料内部の開放気泡内部に形成され得る。例示的な実施形態では、本方法は、第2金属領域を形成した後に、発泡又は繊維材料を除去する工程も含み得る。
本発明の更に別の態様によれば、構成要素の製造方法は、基板の裏面から、裏面から隔った基板の前面に向かって延在する開口部を形成する工程であって、開口部は前面及び裏面の間の内面を画定する、工程と、内面の上方に重なる金属領域を形成する工程を含む、開口部内部に導電ビアを形成する工程と、を含み得る。基板は、10ppm/℃未満のCTEを有する材料から本質的になることができる。金属領域は、その内部に閉じ込められた空洞部を有し得る。
特定の実施形態では、導電ビアを形成する工程は、金属領域を形成する前に、内面の上方に重なる障壁金属層を形成する工程も含み得る。金属領域は、障壁金属層の上方に重なるように形成され得る。障壁金属層は、金属領域の金属とは異なる金属であり得る。一実施形態では、金属領域は第1金属領域であることができ、障壁金属層は第1障壁金属層であることができる。導電ビアを形成する工程は、第1障壁金属層を形成した後に、第1障壁金属層の上方に重なる第2金属層を形成する工程、及び第2金属層の上方に重なる第3障壁金属層を形成する工程を含み得る。第2金属領域は、第3障壁金属層の上方に重なるように形成され得る。例示的な実施形態では、本方法は、外部要素との相互接続のために裏面において露出した導電コンタクトを形成する工程も含み得る。導電コンタクトは、第1金属層及び第2金属領域と電気的に接続することができる。特定の実施形態では、本方法は、金属領域を形成する前に、開口部の内面をコーティングする絶縁誘電体層を形成する工程も含み得る。誘電体層は、少なくとも開口部内部で基板から導電ビアを分離し、絶縁することができる。
本発明の別の態様によれば、構成要素の製造方法は、基板の裏面から、少なくとも、裏面と反対側の基板の前面において露出した複数の導電パッドのうちの1つの導電パッドの底面まで延在する開口部を形成する工程と、開口部内部に延在し、複数の導電パッドのうちの1つの導電パッドと電気的に接続された導電ビアを形成する工程と、前面から第2距離延在する凹部を形成する工程と、を含み得る。基板はその内部に、前面に隣接し、前面から第1距離だけ基板内へ延在する複数の能動半導体デバイスを統合することができる。基板は、10ppm/℃未満のCTEを有する材料から本質的になることができる。導電ビアは、14ppm/℃よりも大きいCTEを有する金属である金属領域を含み得る。第2距離は第1距離以上であり得る。凹部は、導電ビアの直径よりも小さい最大直径を有し得る。
一実施形態では、凹部は、基板の前面に平行な横方向において、横方向の開口部の直径の少なくとも50%である最大直径を有し得る。特定の実施形態では、第2距離が0.2〜10ミクロンであり得る。例示的な実施形態では、本方法は、凹部の露出面をコーティングする障壁層を形成する工程も含み得る。障壁層は、導電ビアの金属とは異なる金属であり得る。
本発明の実施形態に係るビア構造を示す側断面図である。 丸みを付けた移行面を有する、図1Aに示される開口部の代替実施形態を示す側断面図である。 図1Aに示す実施形態に係る製造の段階を示す断面図である。 図1Aに示す実施形態に係る製造の段階を示す断面図である。 図1Aに示す実施形態に係る製造の段階を示す断面図である。 導電パッドを含む図1Aのビア構造の変形例を示す側断面図である。 別の実施形態に係るビア構造を示す側断面図である。 図2Aに示す実施形態に係る製造の段階を示す断面図である。 図2Aに示す実施形態に係る製造の段階を示す断面図である。 導電パッドを含む図2Aのビア構造の変形例を示す側断面図である。 更に別の実施形態に係るビア構造を示す側断面図である。 図3Aに示す実施形態に係る製造の段階を示す断面図である。 図3Aに示す実施形態に係る製造の段階を示す断面図である。 導電パッドを含む図3Aのビア構造の変形例を示す側断面図である。 本発明の更に別の実施形態に係るビア構造を示す側断面図である。 追加の金属層を有する、図4Aに示されるビア構造の代替実施形態を示す側断面図である。 図4Aに示す実施形態に係る製造の段階を示す断面図である。 図4Aに示す実施形態に係る製造の段階を示す断面図である。 図4Aに示す実施形態に係る製造の段階を示す断面図である。 導電パッドを含む図4Aのビア構造の変形例を示す側断面図である。 本発明の更に別の実施形態に係るビア構造を示す側断面図である。 図5Aに示す実施形態に係る製造の段階を示す断面図である。 図5Aに示す実施形態に係る製造の段階を示す断面図である。 図5Aに示す実施形態に係る製造の段階を示す断面図である。 導電パッドを含む図5Aのビア構造の変形例を示す側断面図である。 本発明の更に別の実施形態に係るビア構造を示す側断面図である。 導電パッドを含む図6Aのビア構造の変形例を示す側断面図である。 本発明の一実施形態に係るシステムの概略図である。
図1Aに示すように、構成要素10は、裏面又は第1表面21と、裏面から隔った前面又は第2表面22と、前面と裏面との間で各々の開口部30内を貫通して延在する複数の導電ビア又はシリコン貫通ビア40とを有する、基板20を含み得る。
一部の実施形態では、基板20は、半導体チップ、ウェーハ、又は同様のものとすることができる。基板20は、好ましくは、10×10-6/℃(又はppm/℃)未満の熱膨張係数(「CTE」)を有する。特定の実施形態では、基板20は、7ppm/℃未満のCTEを有し得る。基板20は、本質的に、シリコンなどの無機材料からなるものとすることができる。前面22と裏面21との間の、基板20の厚さは、典型的には200μm未満であり、また著しく小さく、例えば130μm、70μm、又は更に小さいものにすることができる。特定の実施形態では、基板20は、半導体材料、セラミック、及びガラスからなる群から選択される材料で作られたものとすることができる。
図1Aでは、裏面21に平行な方向は、本明細書では「水平」又は「横」方向と称され、その一方で、裏面に垂直な方向は、本明細書では上向き又は下向きの方向と称され、また、本明細書では「鉛直」方向とも称される。本明細書において言及される方向は、言及されている構造体の座標系におけるものである。それ故、これらの方向は、標準又は重力座標系に対して任意の配向で存在してよい。一方の形状部(feature)が、「表面の上方に」別の形状部よりも大きい高さに配されているとの表現は、その一方の形状部が、同じ直交方向において、他方の形状部よりも表面から遠い距離にあることを意味する。逆に、一方の形状部が、「表面の上方に」別の形状部よりも小さい高さに配されているとの表現は、その一方の形状部が、同じ直交方向において、他方の形状部よりも表面から近い距離にあることを意味する。
本開示で使用する際、導電要素が、基板の表面に「露出した」という記述は、その導電要素が、基板の表面に垂直な方向で、基板の外側から基板の表面に向けて移動する、理論的な点との接触のために、利用可能であることを示す。それゆえ、基板の表面に露出した端子又は他の導電要素は、そのような表面から突出する場合もあり、そのような表面と同一平面となる場合もあり、又はそのような表面に対して陥没し、基板内の穴若しくは陥凹部を介して露出する場合もある。
基板20は、前面22及び裏面21の上方に重なる絶縁誘電体層23を更に含み得る。このような誘電体層は、基板20から導電要素を電気的に絶縁することができる。この誘電体層は基板20の「不活性化層」と称することができる。誘電体層は、無機誘電材料若しくは有機誘電材料、又はその双方を含み得る。誘電体層は、電着コンフォーマルコーティング、又は他の誘電材料、例えば、写真画像形成ポリマー材料、例えば、はんだマスク材料を含んでよい。
本明細書で説明される実施形態では、前面22又は裏面21の上方に重なる誘電体層は、基板20の厚さよりも実質的に薄い厚さを有し得るため、誘電体層のCTEが基板材料のCTEよりも実質的に高い場合であっても、基板は、その基板の材料のCTEとほぼ等しい実効CTEを有し得る。一実施例では、基板20は、10ppm/℃未満の実効CTEを有し得る。
基板20は、裏面21から前面22に向かって基板の厚さTを部分的に又は完全に貫通して延在する複数の開口部30を含み得る。図示の実施形態では、それぞれの開口部30は、前面22及び裏面21の間に基板20を完全に貫通して延在する。開口部30は、例えば、m×nアレイ(m及びnはそれぞれ1より大きい)を含む、任意の平面図幾何構成で配置することができる。
それぞれの開口部30は、裏面21から、裏面によって規定される水平面に対して0〜90度の角度で基板20を通って延在する、内面31を含む。一例では、開口部30のうちの1つ以上の内面31は裏面21と前面22との間に延在することができる。内面31は、一定の傾斜、又は変化する傾斜を有し得る。例えば、裏面21によって規定される水平面に対する、内面31の角度又は傾斜は、内面が前面22に向けて更に貫入するにつれて、規模が減少する(すなわち、より小さい正、又はより小さい負の、角度若しくは傾斜となる)場合がある。特定の実施形態では、それぞれの開口部30は、裏面21から前面22に向かう方向に先細り状にすることができる。一部の実施例では、それぞれの開口部30は、例えば特に、円錐台形状、円柱、立方体、又は角柱を含めた、任意の3次元形状を有し得る。
一実施形態では、それぞれの開口部30は、内面31が裏面22に移行する際(図1Bに示される通り)、又は内面が前面21に移行する際(不図示)に、大曲率半径を有する移行面32を有することができる。大曲率半径を有するこのような移行面32は、丸みを付けた移行面が位置する基板20の表面における応力が減少した導電ビア40を提供することができる。大半径を有する移行面32を有する基板20を含むこうした実施形態では、誘電体層60及び23が、図1Bに示されるように、移行面の輪郭に適合することができ、層43及び第1金属層41が移行面の輪郭に適合することができる。基板20が開口部30及び前面又は裏面21、22のうちの少なくとも1つとの間に移行面32を有する特定の実施形態では、移行面の半径が開口部の半径の5%よりも大きくなり得る。
特定の諸実施形態では、例えば、2010年7月23日に出願された米国特許出願第12/842,717号及び第12/842,651号に記載されているように、開口部30、及び本明細書に記載されている全ての他の開口部は種々の形状を有し得る。これらの文献は本明細書において参照により援用されている。このような開口部は、上記の出願に記載されている通りの例示的なプロセスを用いて形成することができる。
複数の開口部30は、開口部30の各々の内部に延在する複数の導電ビア40を含むことができ、それぞれの導電ビアは前面22及び裏面21の間に延在する。特定の実施形態では、第1導電ビア及び第2導電ビア40は、対応する第1の電位及び第2の電位に接続可能とすることができる。
それぞれの導電ビア40は、各々の開口部30の内面31の上方に重なる第1金属層41、及び第1金属層の上方に重なり、第1金属層と電気的に結合された第2金属領域42を含むことができる。導電ビア40は、第1金属層41と絶縁誘電体層60との間に延在する障壁金属層、接着層、及び/又はシード層とすることができる層43を更に含むことができる。第1金属層41、第2金属領域42、及び層43は、開口部30内部において前面22及び裏面21の間に延在することができる。
一例では、第1金属層41は、開口部30の内面31の輪郭に適合する表面を有することができる。例示的な実施形態では、第1金属層41は、基板20の前面22に平行な横方向Lにおいて導電ビア40の直径Dの少なくとも10%の厚さT1を有し得る。特定の実施例では、第2金属領域42は、横方向Lにおいて導電ビア40の直径Dの最大で80%を占有する厚さT2を有し得る。
第2金属領域42は、銅、アルミニウム、又は銅含有合金等の、比較的高いCTEを有する金属とすることができ、一方、第1金属層41は、第2金属領域のCTEよりも低いCTEを有する金属とすることができる。第1金属層41における使用に適し得る比較的低いCTEを有する金属の例としては、アルファ−タンタル、タングステン、ニッケル、モリブデン、チタン、タングステン含有合金、チタン含有合金、チタン及びタングステン含有合金、ニッケル含有合金、並びにモリブデン含有合金、コバルト含有合金、並びにチタン含有導電性化合物を挙げることができる。一例では、第1金属層41は、平均粒径が50ナノメートル未満である粒構造を有し得る。
層43は、第1金属層41及び第2金属領域42から基板20の材料内への金属の拡散を阻止又は低減することができる、障壁金属層、接着層、及び/又はシード層とすることができる。層43は、導電ビア40の金属部分(すなわち、第1金属層41及び第2金属領域42)と絶縁層60との間の物質の輸送を回避する障壁層として機能することができる。層43は接着層の役割も同様に果たしてよい、又はその役割を代替的に果たしてよい。層43は典型的には100ナノメートル未満の厚さを有するが、特定の構造における厚さは100ナノメートル以上になり得る。層43は、第1金属層41及び第2金属領域42の金属とは異なる金属を含み得る。層43における使用に適したものとなり得る金属の例としては、ニッケル、ニッケル含有合金、窒化チタン、窒化タンタル、及び窒化タンタルケイ素を挙げることができる。
第2金属領域42のCTEよりも低いCTEを有する第1金属層41を含むことにより、導電ビア40は、導電ビアの直径Dにわたって、第2金属領域のCTEよりも低い実効CTEを有することができる。特定の実施例では、導電ビア40の直径Dにわたって実効CTEは、第2金属領域42のCTEの80%未満になり得る。
例示的な実施形態では、第1金属層41は、第2金属領域42のヤング率よりも少なくとも50%大きいヤング率を有し得る。一例では、第1金属層41は、200GPaよりも大きいヤング率を有し得る。
構成要素10は、各々の導電ビア40と電気的に接続され、外部要素との相互接続のために基板20の裏面及び前面21、22のどちらか又は両方において露出した1つ以上の導電コンタクト50を更に含み得る。各々の導電コンタクト50は、裏面21の上方に重なる、第1金属層41、第2金属領域42、及び層43のうちの1つ以上の部分を含み得る。特定の実施例では(例えば、図2Aに示されるように)、導電コンタクト50は、第1金属層41、第2金属領域42、又は層43のうちの1つのみの部分を含み得る。図1Aに示されるように、それぞれの導電コンタクト50は、第2金属領域42の露出面とし得る接触面51を含み得る。一実施形態では、それぞれの導電コンタクト50は、1つ以上の導電ビア40の第1金属層41及び第2金属領域42と電気接続させることができる。
構成要素10は、特定の開口部30の内面31の上方に重なり、前面22及び裏面21の間に延在する絶縁誘電体層60も含むことができ、それにより、対応する導電ビア40は絶縁誘電体層の内部に延在する。このような絶縁誘電体層60は、少なくとも開口部30の内部において、導電ビア40を基板20の材料から分離し、電気的に絶縁することができる。基板が誘電体材料(例えば、ガラス又はセラミック)から実質的になる特定の実施形態では、誘電体層60及び/又は23、あるいは本明細書に記載されている他の全ての誘電体層、は省かれてよい。絶縁誘電体層60及び絶縁誘電体層23は単一の絶縁誘電体層として一体形成することができるか、又はそれらは個別の絶縁誘電体層として別々に形成することができる。
一実施例では、このような絶縁誘電体層60は、開口部30内部に露出した内面31を、コンフォーマルコーティングすることができる。絶縁誘電材料60は、無機誘電材料若しくは有機誘電材料又はその双方を含み得る。特定の実施形態では、絶縁誘電材料60は、柔軟な誘電材料を含み得ることにより、絶縁誘電材料は、十分に低い弾性係数及び十分な厚さを有し、そのため、その係数及び厚さの積は、柔軟性をもたらす。
例示的な実施形態(不図示)では、第2金属領域42が中央開口部を画定することができ、そのため、第2金属領域が、第1金属層41と向かい合う外面と反対側の中央開口部を取り囲む内面を画定する。このような実施形態では、絶縁誘電体層(不図示)が第2金属領域42の内面をコーティングすることができる。
導電ビア40のそれぞれ(又は本明細書で説明される他の導電要素のいずれか)と、基板20の外部の構成要素との接続は、導体塊又は導電性結合材料を介したものとすることができる。そのような導体塊は、比較的低い融点を有する易融金属、例えば、はんだ、スズ、又は複数の金属を含む共晶混合物を含み得る。あるいは、そのような導体塊としては、濡れ性の金属、例えば、はんだ又は別の易融金属よりも高い融点を有する、銅又は他の貴金属若しくは非貴金属を挙げることができる。そのような濡れ性の金属は、対応する形状部、例えば、相互接続要素の易融金属形状部と、接合させることができる。特定の実施形態では、そのような導体塊としては、媒質中に分散された導電材料、例えば、導電ペースト、例えば、金属充填ペースト、はんだ充填ペースト、又は等方導電性接着剤、若しくは異方導電性接着剤を挙げることができる。
ここで、図1C〜1Dを参照して、構成要素10(図1A)の製造方法を説明する。図1Cを参照すると、裏面21から前面22まで延在する複数の開口部30を形成するために、基板20の前面又は裏面から材料を除去することができる。
開口部30は、例えば、前面又は裏面22、21の残余部分を保護することが所望される場所に、マスク層を形成した後、基板20を選択的にエッチングすることによって、形成することができる。例えば、写真画像形成層、例えばフォトレジスト層を、裏面21の諸部分のみの上方に重なるように堆積させ、パターン形成することができ、その後、時限エッチングプロセスを遂行することにより、開口部30を形成することができる。
裏面21から前面22に向かって下方へ延在する、それぞれの開口部30の内面31は傾斜していてよい。すなわち、第1表面に対して垂直角度(直角)異なる角度で延在してよい。傾斜した内面31を有する開口部30の形成には、とりわけ、ウェットエッチングプロセス、例えば、等方性エッチングプロセス、及び先細状の刃を用いた、のこ引きを用いることができる。傾斜した内面31を有する開口部30の形成には、とりわけ、レーザダイシング、メカニカルミリングを用いることもできる。
代替的に、傾斜している代わりに、(図1Aに示される通り)それぞれの開口部30の内面31は、第1表面に対して実質的に直角に、裏面21から下方へ鉛直又は実質的に鉛直方向に延在してよい。本質的に鉛直な内面31を有する開口部30の形成には、とりわけ、異方性エッチングプロセス、レーザダイシング、レーザドリル加工、機械的除去プロセス、例えばのこ引き、ミリング加工、超音波加工、を用いることができる。
内面31が裏面22(図1Bに示される通り)又は前面21に移行する際に大曲率半径を有する移行面32をそれぞれの開口部30が有する実施形態では、例えば、まず、高速DRIEエッチング又は反応性イオンエッチング等の異方性エッチングプロセスを用いて、比較的粗い初期内面を有する初期開口部を作り出し、次に、化学エッチング又は電気研磨を用いて、移行面における曲率半径を増大させ、初期内面に沿って延在する粗さ又はスカロップを除去することによって、それぞれの開口部を形成することができる。
不活性化層(例えば、誘電体層23)の、基板20の前面及び/又は裏面22、21の上方に重なる部分も開口部30の形成の間に除去することができ、このような部分は、基板20のエッチングの間に、又は別個のエッチング工程として、エッチングして貫通させることができる。このような不活性化層25の部分の除去には、エッチング、レーザドリル加工、メカニカルミリング、又は他の適切な技術を用いることができる。
開口部30の形成後、開口部30の内面31の上方に重なる又はコーティングする絶縁誘電体層60を堆積させることができ、それにより、導電ビア40は、それらを開口部内部に堆積させると、絶縁誘電体層の内部に延在することになる。上述したように、誘電体層23及び60は単一のプロセスで堆積させることができる。
特定の実施形態では、基板の裏面21の、このような誘電体層60を形成しないように所望される開口部を有する部分に、マスクを施すことができる。開口部30のうちの、このような非コーティング開口部は、基板20の材料に直接接触する部分を有する導電ビア40で、後に充填することができる。このような導電ビア40は接地電位と電気的に結合させることができる。基板が誘電体材料(例えば、ガラス又はセラミック)から実質的になる特定の実施形態では、誘電体層60及び/又は23、あるいは本明細書に記載されている他の全ての誘電体層、は部分的又は完全に省かれてよい。
開口部30の内面31の上方に重なるこのような絶縁誘電体層60の形成には、様々な方法を用いることができ、こうした方法を以下で説明する。特定の実施例では、化学気相成長法(CVD)又は原子層堆積法(ALD)を使用して、開口部31の内面30の上方に重なる薄い絶縁誘電体層を堆積させることができる。一実施例では、そのような絶縁誘電体層を堆積させるための低温プロセス中に、オルトケイ酸テトラエチル(TEOS)を使用することができる。例示的実施形態では、二酸化ケイ素、ホウリンケイ酸ガラス(BPSG)、ホウケイ酸ガラス(BSG)、又はリンケイ酸ガラス(PSG)の層を、開口部30の内面31の上方に重なるように堆積させることができ、このようなガラスは、ドープされているもの又はドープされていないものにすることができる。
一実施例では、基板20の前面22又は裏面21に流動性誘電材料を施すことができ、次いで、その流動性材料は、「スピンコーティング」操作の間に、開口部30の内面31全体にわたって、より均一に分散させることができ、その後に、加熱を含み得る乾燥サイクルが続く。別の実施例では、前面22又は裏面21に熱可塑性の誘電材料のフィルムを施すことができ、その後、そのアセンブリを加熱するか、又は真空環境中で、すなわち、周囲気圧よりも低い圧力下の環境中に定置して、加熱する。
更に別の実施例では、基板20を含むアセンブリを、誘電体析出浴液槽中に浸漬させることにより、コンフォーマルな誘電体コーティングすなわち絶縁誘電材料60を形成することができる。本明細書で使用する際、「コンフォーマルコーティング」とは、絶縁誘電材料60が開口部30の内面31の輪郭に適合する場合などの、コーティングされている表面の輪郭に適合する、特定の材料のコーティングである。例えば、電気泳動堆積又は電解析出を含めた、電気化学堆積法を用いて、このコンフォーマルな誘電材料60を形成することができる。
一実施例では、電気泳動堆積技術を用いて、コンフォーマルな誘電体コーティングを形成することができ、それにより、コンフォーマルな誘電体コーティングは、アセンブリの、露出した導電性表面及び半導体性表面上にのみ堆積する。堆積の間は、半導体デバイスのウェーハを、所望の電位に保持し、電極を浴液槽中に浸漬させて、その浴液槽を、異なる所望の電位に保持する。次いでこのアセンブリを、十分な時間、適切な条件下の浴液槽中に保持することにより、導電性又は半導体性の基板の露出表面上に、電着したコンフォーマルな誘電材料60を形成することができ、それらの露出表面としては、開口部30の内面31に沿ったものが挙げられるが、これに限定されない。電気泳動堆積は、この堆積によってコーティングされる表面と浴液槽との間に、十分に強い電界が維持されている限りにおいて、生じる。この電気泳動的に堆積されるコーティングは、その堆積のパラメータ、例えば、電圧、濃度などによって制御される、特定の厚さに到達した後に、堆積が停止するという点で、自己制限的である。
電気泳動堆積は、基板20の導電性及び/又は半導体性の外部表面上に、連続的かつ均一な厚さのコンフォーマルコーティングを形成する。加えて、この電気泳動コーティングは、基板20の前面22又は裏面21の上方に重なる残りの不活性化層上には形成されないように、堆積させることができるが、これは、その不活性化層のその誘電(非導電)特性によるものである。換言すれば、電気泳動堆積の特性は、誘電材料の層上には、通常は形成されず、また誘電材料の層が、十分な厚さを有する場合であれば、その誘電特性を考慮すると、導電体の上方に重なる誘電体層上には形成されないというものである。典型的には、電気泳動堆積は、約10ミクロン超〜数十ミクロンの厚さを有する誘電体層上には、生じることがない。コンフォーマルな誘電材料60は、陰極エポキシ析出前駆体から形成することができる。あるいは、ポリウレタン又はアクリル析出前駆体を使用することも可能である。様々な電気泳動コーティング前駆体組成物及び供給元を、以下の表1に記載する。
Figure 0006058664
別の実施例では、誘電材料60は、電解で形成することができる。このプロセスは、電気泳動堆積法と同様であるが、ただし、堆積層の厚さは、その層が形成される導電性表面又は半導体性表面に対する近接性によって、制限されるものではない。このように、電解で堆積される誘電体層は、要件に基づいて選択される厚さまで形成することができ、処理時間が、その達成される厚さの因子である。
次に図1Dを参照すると、基板20の前面22及び裏面21の上方に重なるマスク層24を形成することができる。マスク層24は、前面22及び裏面21の、導電コンタクト50(図1E)を形成するように所望される開口部30を取り囲む領域において、間隙を有することができる。例えば、写真画像形成層(例えばフォトレジスト層)を堆積させてパターニングし、前面22及び裏面21の諸部分を被覆することができる。
図1Eに示されるように、絶縁誘電体層60を堆積させた後、絶縁誘電体層及び各々の開口部30の内面31の上方に重なる層43を形成することができる。次に、層43の上方に重なる第1金属層41を形成することができる。その後、第1金属層41及び層43の上方に重なる第2金属領域42を形成することができる。第2金属領域42は第1金属層41及び層43と電気的に結合させることができる。図1Aを参照して上述したように、導電コンタクト50は、前面及び/又は裏面22、21の上方に重なる、第1金属層41、第2金属領域42、及び層43のうちの1つ以上の部分として形成することができる。一例では、それぞれの導電コンタクト50は、第1金属層41、第2金属領域42、及び層43のうちの1つ以上とは切り離し、それらと電気的に接続させて形成することができる。
第1金属層41、第2金属領域42、層43、及び導電コンタクト50のうちのいずれかを形成するために、例示的な方法は、絶縁誘電体層60の露出面上への一次金属層のスパッタリング、めっき、又は機械的堆積のうちの1つ以上によって、金属層を堆積させることを伴う。機械的堆積は、加熱した金属粒子流を、コーティングされる表面上へと、高速で方向付けることを伴い得る。この工程は、例えば、絶縁誘電体層60へのブランケット堆積によって実行することができる。
第1金属層41、第2金属領域42、層43、及び導電コンタクト50を形成するには、本質的に、導電要素の形成に利用可能な任意の技術を用いることができるが、2010年7月23日に出願された共有の米国特許出願第12/842,669号により詳細に記載されている通りの特定の技術を利用することができる。同文献は本明細書において参照により援用されている。それらの技術は、例えば、レーザを使用して、又はミリング加工若しくはサンドブラスト加工などの機械的プロセスを用いて、選択的に表面を処理することにより、導電要素が形成される経路に沿って、表面のそれらの部分を、その表面の他の部分とは異なるように処理することを含み得る。例えば、レーザ又は機械的プロセスを用いて、特定の経路のみに沿って、表面から、犠牲層などの材料を剥離又は除去することにより、その経路に沿って延在する溝を形成することができる。次いで、その溝内に、触媒などの材料を堆積させることができ、1つ以上の金属層を、その溝内に堆積させることができる。
図1Aを再び参照すると、第1金属層41、第2金属領域42、層43、及び導電コンタクト50の形成後、マスク層24を除去することができる。
図1Fは、代替的な構成を有する図1Aの構成要素10の変形例を示す。図1Fに示される構成要素10aは上述の構成要素10と同じであるが、ただし、構成要素10aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。
一例では、構成要素10aは、基板20の前面22において、導電パッド25の形態の複数の導電要素を含み得る。導電パッド25のうちの少なくとも一部は、導電ビア40aの各々と電気的に接続させることができる。特定の実施形態では、能動半導体領域19内の複数の能動半導体デバイスは、導電パッド25のうちの少なくとも一部と電気的に接続させることができる。
能動半導体領域19内に配設される能動半導体デバイスは、典型的には、基板20の1層以上の誘電体層の内部又は上方に延在して組み込まれた配線を通じて導電的にアクセス可能である。一部の実施形態(不図示)では、導電パッド25は、基板20の前面22に直接露出しない場合がある。その代わりに、導電パッド25は、基板20の前面22に露出した端子へと延びるトレースに、電気的に接続することができる。導電パッド25、及び本明細書で開示されるいずれの他の導電構造体も、例えば、銅、アルミニウム、又は金を含めた、任意の導電性金属から作ることができる。導電パッド25及び本明細書で開示されるいずれの導電パッドも、円形、楕円形、三角形、正方形、矩形、又は任意の他の形状を含めた、任意の平面図形状を有し得る。
図1Fに示される実施形態では、導電ビア40aは、裏面21から、基板20の前面22にある導電パッド25のうちの対応するものまで延在する開口部30a内部に形成することができる。層43aは、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができる。第1金属層41aは、層43a及び導電パッド25の上方に重なるように形成することができる。第2金属領域42aは、誘電体層60及び第1金属層41a及び層43aで満たされていない開口部30aの残りの容積内部に延在するように形成することができる。別の要素との相互接続のために、導電ビア40aと電気的に接続された単一の導電コンタクト50を裏面21において露出させることができる。
特定の実施形態(不図示)では、第1金属層41a、第2金属領域42a、及び層43aのうちの1つ以上は、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができ、それにより、第1金属層41a、第2金属領域42a、及び層43aのうちの1つ以上は、導電パッドの底面と接触するように形成することができる。
一実施形態(不図示)では、導電ビア40aの一部は、導電パッド25のうちの対応するものを貫いてその底面26から上面27まで延在することができる。このような実施例では、開口部30aは、各々の導電パッド25を貫いて延在するように形成することができる。
図2Aは、代替的な構成を有する図1Aの構成要素10の変形例を示す。図2Aに示される構成要素210は上述の構成要素10と同じであるが、ただし、構成要素210が、第2金属領域242を完全に取り囲む第1金属層241を有する導電ビア240を含み、導電コンタクト250が第1金属層241の部分である点が異なる。導電ビア240内には、第1金属層241と絶縁誘電体層60との間に延在する、図1Aに示される層43等の障壁金属層(不図示)を含めることができる。
ここで、図2B及び2Cを参照して、構成要素210(図2A)の製造方法を説明する。図2Bを参照すると、図1Cを参照して上述したものと同様のプロセスを用いて、開口部30並びに誘電体層23及び60を形成することができる。次に、図1Eを参照して上述したものと同様のプロセスを用いて、各々の開口部30内部に、誘電体層60の上方に重なるように、それぞれの第1金属層241を形成することができる。
図2Cを参照すると、図1Eを参照して上述したものと同様のプロセスを用いて、各々の開口部30内部に、第1金属層241の上方に重なるように、それぞれの第2金属領域242を形成することができる。
次に、図2Aを再び参照すると、図1Eを参照して上述したものと同様のプロセスを用いて、基板20の前面22及び裏面21において導電コンタクト250を形成することができる。
図2Dは、代替的な構成を有する図2Aの構成要素210の変形例を示す。図2Dに示される構成要素210aは上述の構成要素210と同じであるが、ただし、構成要素210aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。
導電ビア240aは、裏面21から、基板20の前面22における導電パッド25のうちの対応するものまで延在する開口部30a内部に形成することができる。第1金属層241aは、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができる。第2金属領域242aは、誘電体層60及び第1金属層241aで満たされていない開口部30aの残りの容積内部に延在するように形成することができる。
別の要素との相互接続のために、導電ビア240aと電気的に接続された単一の導電コンタクト250を裏面21において露出させることができる。導電コンタクト250は第1金属層241aの部分とすることができ、そのため、第1金属層は第2金属領域242aを完全に取り囲む。
特定の実施形態(不図示)では、第1金属層は第2金属領域を完全には取り囲まなくてもよく、そのため、第1金属層及び第2金属領域は、導電パッドの底面と接触するように形成される。このような実施形態では、図2Aに示される第2金属領域242の軸方向対向面249の上方に重なる第1金属層241の部分255と同様に、第1金属層は、第2金属領域の軸方向対向面の上方に重なる部分を含み得る。
図3Aは、代替的な構成を有する図2Aの構成要素210の変形例を示す。図3Aに示される構成要素310は上述の構成要素210と同じであるが、ただし、構成要素310が、導電ビア340であって、多孔性金属で作られた第2金属領域342を有し、その内部に散在し、空気で満たすことができる空隙344を有する導電ビア340を含み、第2金属領域の軸方向対向領域349がそれぞれ、その内部に堆積させた境界材料375を含み得る点が異なる。一例では、第2金属領域342は樹枝状金属構造体を有し得る。
それぞれの境界材料375は、例えば、第2金属領域342内部に散在し、開口部30内部の各々の導電コンタクト350から深さD1又はD2まで延在する、はんだ又はポリマー媒体を含み得る。一例では、1つ以上の軸方向対向領域349、又は第2金属領域342の上面を、誘電体層でコーティングすることができる。
例示的実施形態では、このような空隙344は、基板20内部に、及び/又は前面22及び裏面21における導電コンタクト350に対して、その空隙が存在しないとした場合ほどの応力を発生させることなく、導電相互接続子340に追加的な膨張の余地を提供することができる。このような空隙は、特に、基板20の材料のCTEと第2金属領域342の材料のCTEとの間に比較的大きな不整合が存在する場合に、こうした実施形態における構成要素310の性能を向上させることができる。
ここで、図3B及び3Cを参照して、構成要素310(図3A)の製造方法を説明する。図3Bを参照すると、図1Cを参照して上述したものと同様のプロセスを用いて、開口部30並びに誘電体層23及び60を形成することができる。次に、図1Eを参照して上述したものと同様のプロセスを用いて、各々の開口部30内部に、誘電体層60の上方に重なるように、それぞれの第1金属層341を形成することができる。特定の実施形態では、第1金属層341は、図1Aに示される層43等の障壁金属層とすることができる。
図3Cを参照すると、それぞれの第2金属領域342は、例えば、めっきプロセスの最中にめっき電流を変化させることによる、第1金属層341の上方に重なる各々の開口部30内部の樹枝状めっきによって、形成することができる。第2金属領域342の形成中、めっき電流は、所与のめっき化学反応によって金属コーティングを形成するための限界電流密度以上とすることができ、それにより、樹枝状成長が起きる。めっき電流のこうした変化が樹枝状成長を制御することができ、それにより、内部に散在した空隙344を有し、同じ金属の、空隙のない領域よりも低いヤング率を有する第2金属領域342を作り出す。
次に、図3Aを再び参照すると、境界材料375は、図1Aに示される誘電体層23及び60の堆積に関して上述したのと同様のプロセスを用いて、第2金属領域342の軸方向対向領域349内部に堆積させることができる。次に、図1Eを参照して上述したものと同様のプロセスを用いて、基板20の前面22及び裏面21において導電コンタクト350を形成することができる。
図3Dは、代替的な構成を有する図3Aの構成要素310の変形例を示す。図3Dに示される構成要素310aは上述の構成要素310と同じであるが、ただし、構成要素310aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。
導電ビア340aは、裏面21から、基板20の前面22における導電パッド25のうちの対応するものまで延在する開口部30a内部に形成することができる。第1金属層341aは、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができる。第2金属領域342aは、誘電体層60及び第1金属層341aで満たされていない開口部30aの残りの容積内部に延在するように形成することができる。
別の要素との相互接続のために、導電ビア340aと電気的に接続された単一の導電コンタクト350を裏面21において露出させることができる。導電コンタクト350は第1金属層341aの部分とすることができ、そのため、第1金属層は第2金属領域342aを完全に取り囲む。
図4Aは、代替的な構成を有する図3Aの構成要素310の変形例を示す。図4Aに示される構成要素410は上述の構成要素310と同じであるが、ただし、構成要素410が、金属領域442であって、その内部に散在し、空気で満たすことができる、閉じ込められた空洞部444を有する金属領域442を有する導電ビア440を含み、導電コンタクト450を金属領域442の諸部分とすることができる点が異なる。特定の実施形態では、導電ビア440内部に散在した、閉じ込められた空洞部444は、1ミクロンよりも大きい平均直径を有し得る。層443は、図1Aに関して示され、説明された層43と同様のものとすることができる。例えば、このような層443は障壁金属層とすることができる。
図4Bは、追加の金属層を有する、図4Aに示される導電ビアの代替実施形態を示す。導電ビア440’は、層443の上方に重なる第2金属層445、及び第2金属層の上方に重なる第3障壁金属層446を含むことができる。金属領域442は第3障壁金属層446の上方に重なることができる。このような導電ビア440’は、さもなくば高応力及び高温状態が生じさせる恐れがある、最終的な構成要素410における早期のエレクトロマイグレーション故障を回避することができる。
特定の実施例では、層443は、ニッケル、ニッケル含有合金、窒化チタン、窒化タンタル、又は窒化タンタルケイ素を含む第1障壁金属層とすることができる。第2金属層445は、例えば、銅、アルミニウム、又は銅含有合金等の、金属領域442と同じ金属を含み得る。第3障壁金属層446は、ニッケル合金、あるいはコバルト−リン又はコバルト−タングステン−リン等のコバルト合金を含む第2障壁金属層とすることができる。一実施形態では、第3障壁金属層446は無電解めっきによって堆積させることができる。
ここで、図4C〜4Eを参照して、構成要素410(図4A)の製造方法を説明する。図4Cを参照すると、図1Cを参照して上述したものと同様のプロセスを用いて、開口部30並びに誘電体層23及び60を形成することができる。次に、図1Eを参照して上述したものと同様のプロセスを用いて、各々の開口部30内部に、誘電体層60の上方に重なるように、それぞれの層443を形成することができる。特定の実施形態では、層443は、図1Aに示される層43等の障壁金属層とすることができる。
図4D及び4Eを参照すると、それぞれの金属領域442は、例えば、めっきプロセスの最中にめっき電流を変化させることによる、層443の上方に重なる各々の開口部30内部のめっきによって、形成することができる。図4Dにおいて分かるように、金属領域442は層443に隣接して形成を始めることができ、第1金属層から半径方向内側へ形成を続けることができる。1つの例示的なプロセスでは、めっきは、図4Dに示されるように、開口部の内面上にコンフォーマルコーティングを作り出すべく中程度の電流密度を用いて開始することができる。次に、電流密度をより高いレベルに増加させることができ、それにより、図4Eに示されるように、ビア開口部を、その端部において、又はその端部間の1つ以上の位置内で、大部分狭窄させるか又は完全に閉鎖する結果をもたらすことができる。
めっき電流をこのように変化させることで、内部に散在した1つ以上の閉じ込められた空洞部444を有し、同じ金属の、閉じ込められた空洞部がない領域よりも低いヤング率を有する金属領域442を作り出すことができる。金属領域442の形成中に電流密度をどのように変化させるかに応じて、空洞部444は、図4Eに示されるように、互いに不連続となることができるか、又は金属領域内部に延在する単一の連続した空隙(不図示)が生じ得る。
次に、図4Aを再び参照すると、図1Eを参照して上述したものと同様のプロセスを用いて、基板20の前面22及び裏面21において導電コンタクト450を形成することができる。特定の実施形態では、導電コンタクト450は、単一の形成プロセスの間に金属領域442と共に形成することができる。
導電ビア440’の作製は、上述した導電ビア440の作製と同じであり得るが、ただし、層443及び金属領域442の形成の間に2層の追加の金属層が形成される点が異なる。より詳細には、層443の形成後に、層443の上方に重なる第2金属層445を形成することができ、その第2金属層445の上方に重なる第3障壁金属層446を形成することができる。次に、図4A及び4C〜4Eを参照して上述したように、第3障壁金属層446の上方に重なる金属領域442を形成することができる。一実施形態では、第3障壁金属層446は無電解めっきによって堆積させることができる。
図4Fは、代替的な構成を有する図4Aの構成要素410の変形例を示す。図4Fに示される構成要素410aは上述の構成要素410と同じであるが、ただし、構成要素410aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。
導電ビア440aは、裏面21から、基板20の前面22における導電パッド25のうちの対応するものまで延在する開口部30a内部に形成することができる。層443aは、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができる。金属領域442aは、誘電体層60及び層443aで満たされていない開口部30aの残りの容積内部に延在するように形成することができる。別の要素との相互接続のために、導電ビア440aと電気接続した単一の導電コンタクト450を裏面21において露出させることができる。
図5Aは、代替的な構成を有する図4Aの構成要素410の変形例を示す。図5Aに示される構成要素510は上述の構成要素410と同じであるが、ただし、構成要素510が、開口部30内部に配設される発泡又は繊維材料546の開放気泡内部にめっきされる第2金属領域542を有する導電ビア540を含む点が異なる。
ここで、図5B〜5Dを参照して、構成要素510(図5A)の製造方法を説明する。図5Bを参照すると、図1Cを参照して上述したものと同様のプロセスを用いて、開口部30並びに誘電体層23及び60を形成することができる。次に、図1Eを参照して上述したものと同様のプロセスを用いて、各々の開口部30内部に、誘電体層60の上方に重なるように、それぞれの第1金属層541を形成することができる。特定の実施形態では、第1金属層541は、図1Aに示される層43等の障壁金属層とすることができる。
図5Cを参照すると、開口部30内部に、第1金属層541の上方に重なるように、発泡又は繊維材料546を堆積させることができる。次に、図5Dに示されるように、例えば、めっきプロセスによって、発泡又は繊維材料546の開放気泡内部に第2金属領域542を形成することができる。特定の実施形態(不図示)では、次に、発泡又は繊維材料546を除去することができる。
次に、図5Aを再び参照すると、図1Eを参照して上述したものと同様のプロセスを用いて、基板20の前面22及び裏面21において導電コンタクト550を形成することができる。特定の実施形態では、導電コンタクト550は、単一の形成プロセスの間に第2金属領域542と共に形成することができる。
図5Eは、代替的な構成を有する図5Aの構成要素510の変形例を示す。図5Eに示される構成要素510aは上述の構成要素510と同じであるが、ただし、構成要素510aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが、典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。
導電ビア540aは、裏面21から、基板20の前面22における導電パッド25のうちの対応するものまで延在する開口部30a内部に形成することができる。第1金属層541aは、裏面22と、開口部30a内部に露出した各々の導電パッド25の底面26との間に延在する開口部30a内部に形成することができる。発泡又は繊維材料546aは、開口部30aの、誘電体層60及び第1金属層541aで満たされていない残りの容積内部に延在するように形成することができ、第2金属領域542aは、発泡又は繊維材料内部に堆積させることができる。別の要素との相互接続のために、導電ビア540aと電気的に接続された単一の導電コンタクト550を裏面21において露出させることができる。
図6Aは、代替構成を有する別の実施形態を示す。図1Aに示される構成要素10と同様に、構成要素610は、裏面又は第1表面21と、裏面から隔った前面又は第2表面22と、前面と裏面との間で各々の開口部30内を貫通して延在する複数の導電ビア又はシリコン貫通ビア640とを有する、基板20を含み得る。基板20は好ましくは、10ppm/℃未満のCTEを有する。特定の実施形態では、基板20は、半導体材料、セラミック、及びガラスからなる群から選択される材料で作られたものとすることができる。一例では、導電ビア640は、銅等の、14ppm/℃よりも大きいCTEを有する金属である金属領域を含み得る。構成要素10は、各々の導電ビア640と電気的に接続され、外部要素との相互接続のために基板20の裏面及び前面21、22のどちらか又は両方において露出した1つ以上の導電コンタクト650を更に含むことができる。一例では(不図示)、図1Aに示される層43等の、導電ビア640と誘電体層60との間に延在する障壁金属層を含めることができる。
基板20は、前面22及び裏面21の上方に重なる絶縁誘電体層23、並びに特定の開口部30の内面31の上方に重なり、前面及び裏面の間に延在する絶縁誘電体層60を更に含むことができ、そのため、対応する導電ビア640は絶縁誘電体層60内部に延在する。基板が誘電体材料(例えば、ガラス又はセラミック)から実質的になる特定の実施形態では、誘電体層60は省かれてよい。絶縁誘電体層60及び絶縁誘電体層23は単一の絶縁誘電体層として一体形成することができるか、又はそれらは個別の絶縁誘電体層として別々に形成することができる。
図6Aに示される導電ビア640は、その一方又は両方の軸方向端部において形成される凹部648を有する。それぞれの凹部648は、各々の導電コンタクト650を貫き、裏面21の下方に最大距離D3だけ又は前面22の下方に最大距離D4だけ延在することができる。特定の実施例では、最大距離D3及びD4は、約0.2ミクロン〜約10ミクロンになり得る。それぞれの凹部648は、基板20の前面22に平行な横方向Lにおいて導電ビア640の直径Dよりも小さい最大直径D’を有することができる。一例では、それぞれの凹部648の最大直径D’は、横方向において開口部30の直径の少なくとも50%になり得る。
図6Bは、代替的な構成を有する図6Aの構成要素610の変形例を示す。図6Bに示される構成要素610aは上述の構成要素610と同じであるが、ただし、構成要素610aが、基板20の前面22及び/又はその下方に位置するその能動半導体領域19内に配設される複数の能動半導体デバイス(例えば、トランジスタ、ダイオード、等)を含み、このような能動半導体デバイスが典型的には、前面22において露出した導電パッド25に導電的に接続される点が異なる。能動半導体領域19内の複数の能動半導体デバイスは、前面から基板20内へと、前面22の下方に最大距離D5だけ延在することができる。
図6Aに示される導電ビア640aは、その軸方向端部において形成される凹部648aを有する。それぞれの凹部648aは、各々の導電パッド25を貫き、前面22の下方に最大距離D6だけ延在することができる。一実施形態では、最大距離D6は、約0.2ミクロン〜約10ミクロンになり得る。特定の実施例では、凹部648aが前面の下方に延在する最大距離D6は、能動半導体デバイスが前面の下方に延在する距離D5以上になり得る。それぞれの凹部648aは、基板20の前面22に平行な横方向Lにおいて導電ビア640の直径Dよりも小さい最大直径D’を有することができる。
例示的実施形態では、このような凹部648及び648aは、基板20内部に、かつ/又は前面22及び裏面21において導電コンタクト650若しくは導電パッド25に対して、その凹部が存在しないとした場合ほどの応力を発生させることなく、各々の導電相互接続子640又は640aが横方向Lに膨張するのを許す。このような凹部648及び648aは、特に、基板20の材料のCTEと導電ビア640又は640aの材料のCTEとの間に比較的大きな不整合が存在する場合に、こうした実施形態における各々の構成要素610又は610aの性能を向上させることができる。
特定の諸実施例では、凹部648及び648aの露出面649を障壁層又は領域でコーティングすることができる。例えば、このような障壁層又は領域は、ポリマー、はんだ等の導体塊、タングステン等の金属、あるいはコバルト−リン、ニッケル−リン、又はニッケル−タングステン等の金属合金を含み得る。このような障壁層又は領域は、凹部648又は648aを部分的又は完全に満たすことができる。特定の実施形態では、このような障壁層又は領域は、導電ビア640又は640aの金属とは異なる金属で作られたものとすることができる。このような障壁層又は領域は、好ましくは、導電ビア640又は640aの金属と比べて比較的高い弾性率と比較的高いCTEを兼ね備えていないであろう。そのため、障壁層又は領域が凹部648又は648aの応力低減効果を著しく減じることはなかろう。
上述した構成要素は、図7に示されるように、多種多様な電子システムの構築において利用され得る。例えば、本発明の更なる実施形態に係るシステム700が、上述した通りの超小型電子アセンブリ706を、他の電子構成要素708及び710と併せて含む。図示の例では、構成要素708は半導体チップであり、一方、構成要素710はディスプレイ画面であるが、任意の他の構成要素を用いることができる。当然ながら、図7では、説明を分かりやすくするために、2つの追加構成要素しか示されていないが、システムはこのような構成要素を任意数含んでもよい。超小型電子アセンブリ706は、上述した構成要素のいずれであってもよい。更なる変形例では、任意数のそのような超小型電子アセンブリ706を使用することができる。
超小型電子アセンブリ706並びに構成要素708及び構成要素710は、概略的に破線で示される、共通のハウジング701内に実装することができ、必要に応じて、互いに電気的に相互接続されることにより、所望の回路を形成することができる。図示の例示的システムでは、このシステムは、フレキシブルプリント回路基板などの回路パネル702を含み得、この回路パネルは、構成要素を互いに相互接続する、多数の導電体704(図7では、そのうちの1つのみを示す)を含み得る。ただし、これは単なる例示に過ぎず、電気接続部を作るのに適した任意の構造体を用いることができる。
ハウジング701は、例えば、携帯電話又は携帯情報端末で使用可能なタイプの、携帯用ハウジングとして示され、このハウジングの表面に、画面710を露出させることができる。構造体706が、撮像チップなどの受光素子を含む場合は、その構造体に光を導くために、レンズ711又は他の光学素子も設けられてもよい。先と同様に、図7に示されている単純化したシステムは単なる例示に過ぎず、デスクトップコンピュータ、ルータ及び同様のもの等の、固定構造体と一般に見なされているシステムを含む他のシステムが、上述した構造体を用いて作製することができる。
本明細書で開示される、開口部、孔、及び導電要素は、2010年7月23日に出願された、同時係属の、本発明の同一譲受人に譲渡された米国特許出願第12/842,587号、同第12/842,612号、同第12/842,651号、同第12/842,669号、同第12/842,692号、及び同第12/842,717号で、並びに米国特許出願公開第2008/0246136号で、より詳細に開示されるようなプロセスによって形成することができ、それらの開示は、参照により本明細書に援用されている。
本明細書では、特定の実施形態を参照して本発明が説明されているが、これらの実施形態は単に本発明の原理及び適用の単なる例示に過ぎないことを理解されたい。それゆえ、例示的実施形態には数多くの変更がなされてよいこと、及び添付の請求項によって定義される通りの本発明の趣旨及び範囲から逸脱することなく他の構成が考案されてよいことを理解されたい。
様々な従属請求項、及びそれらの請求項に記載される特長は、最初の請求項で提示されるものとは異なる方式で組み合わせることができる点が、理解されるであろう。個々の実施形態に関連して説明される特長は、上述の実施形態の他のものと共有することができる点もまた、理解されるであろう。
本発明は、以下のものに限定されるわけではないが、電子構成要素、及び電子構成要素の製造方法を含む、広範な産業上の利用可能性を享受する。

Claims (19)

  1. 構成要素であって、
    前面及び前記前面から隔った裏面を有する基板であって、10ppm/℃未満の熱膨張係数(CTE)を有する、基板と、
    前記裏面から前記前面に向かって延在する開口部であって、前記前面及び裏面の間の内面を画定する、開口部と、
    前記開口部内部に延在する導電ビアであって、前記内面の上方に重なる第1金属層、及び前記第1金属層の上方に重なり、前記第1金属層と電気的に結合された第2金属領域であって、前記第1金属層のCTEよりも大きいCTEを有する、第2金属領域を含む、導電ビアと、
    を含み、
    前記導電ビアは、前軸方向端部及び裏軸方向端部を有し、前記開口部は、前記前軸方向端部及び裏軸方向端部の一方に形成された凹部であり、
    前記基板が、前記開口部と前記前面又は裏面のうちの少なくとも一方との間の移行面を有し、前記移行面の半径は前記開口部の半径の5%よりも大きい、
    構成要素。
  2. 前記第2金属領域は、前記第1金属層と向かい合う外面と、前記第2金属領域内に構成された中央開口部を取り囲む内面と、を有する、請求項1に記載の構成要素。
  3. 前記第2金属領域の内面をコーティングする絶縁誘電体層を更に含む、請求項2に記載の構成要素。
  4. 前記開口部は、前記導電ビアの前記裏軸方向端部に形成された凹部であり、前記凹部は、前記基板の裏面の下方で延びている、請求項に記載の構成要素。
  5. 前記凹部の露出面が、前記導電ビアの前記金属とは異なる材料である障壁層でコーティングされる、請求項に記載の構成要素。
  6. 前記凹部の露出面は、前記凹部を少なくとも部分的に充填するポリマーでコーティングされている、請求項に記載の構成要素。
  7. 前記凹部は、前記基板の前面と平行な横方向において、前記導電ビアの直径よりも小さい最大直径を有する、請求項に記載の構成要素。
  8. 前記第2金属領域は、前記第1金属層をコンフォーマルコーティングする、請求項1に記載の構成要素。
  9. 前記第2金属領域は、前記基板の前面と裏面との間で前記開口部内で延びている、請求項1に記載の構成要素。
  10. 外部要素との相互接続のために前記裏面において露出した接触面を有する導電コンタクトを更に含み、前記接触面は、前記第2金属領域の露出面である、請求項1に記載の構成要素。
  11. 前記基板は、実質的に半導体材料からなり、前記構成要素は、前記開口部の内面をコーティングする絶縁誘電体層であって、少なくとも前記開口部内部で前記基板から前記導電ビアを分離し、絶縁する絶縁誘電体層を更に含む、請求項1に記載の構成要素。
  12. 前記導電ビアの一部は、前記基板の材料と直接接触している、請求項1に記載の構成要素。
  13. 前記基板は、実質的に誘電体材料からなる、請求項1に記載の構成要素。
  14. 前記前面において複数の導電要素を更に含み、前記複数の導電要素のうちの少なくとも一部は前記導電ビアの各々と電気的に接続され、前記基板が、前記複数の導電要素のうちの少なくとも一部と電気的に接続された複数の能動半導体デバイスを統合する、請求項1に記載の構成要素。
  15. 前記導電要素は、前記基板の前面から離れる方に向いた頂面と、前記前面と向かい合わせの底面とを有し、前記第1金属材料は、前記導電要素の底面と接触して形成されている、請求項14に記載の構成要素。
  16. 前記第2金属領域は、前記第1金属層によって前記導電要素から分離されている、請求項15に記載の構成要素。
  17. 前記導電ビアは、前記第1金属層と前記内面の間に第3の金属層を含み、前記第3金属層は、前記第1金属層及び前記第2金属領域とは異なる金属である、請求項1に記載の構成要素。
  18. 請求項1に記載の構成要素と、この構成要素に電気的に接続される1つ以上の他の電子構成要素とを備える、システム。
  19. ハウジングを更に備え、前記構成要素及び前記他の電子構成要素が、前記ハウジングに実装される、請求項18に記載のシステム。
JP2014522989A 2011-07-29 2012-07-26 低応力ビア Active JP6058664B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/193,814 2011-07-29
US13/193,814 US8816505B2 (en) 2011-07-29 2011-07-29 Low stress vias
PCT/US2012/048288 WO2013019541A2 (en) 2011-07-29 2012-07-26 Low-stress vias

Publications (3)

Publication Number Publication Date
JP2014526149A JP2014526149A (ja) 2014-10-02
JP2014526149A5 JP2014526149A5 (ja) 2015-09-10
JP6058664B2 true JP6058664B2 (ja) 2017-01-11

Family

ID=46634544

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014522989A Active JP6058664B2 (ja) 2011-07-29 2012-07-26 低応力ビア

Country Status (5)

Country Link
US (4) US8816505B2 (ja)
JP (1) JP6058664B2 (ja)
KR (1) KR101928320B1 (ja)
TW (1) TWI538147B (ja)
WO (1) WO2013019541A2 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101128063B1 (ko) 2011-05-03 2012-04-23 테세라, 인코포레이티드 캡슐화 층의 표면에 와이어 본드를 구비하는 패키지 적층형 어셈블리
US8816505B2 (en) 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias
US8404520B1 (en) 2011-10-17 2013-03-26 Invensas Corporation Package-on-package assembly with wire bond vias
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US9258907B2 (en) * 2012-08-09 2016-02-09 Lockheed Martin Corporation Conformal 3D non-planar multi-layer circuitry
KR101975541B1 (ko) * 2012-09-03 2019-05-07 에스케이하이닉스 주식회사 반도체 메모리 소자의 tsv 구조 및 그 테스트 방법
US9076785B2 (en) 2012-12-11 2015-07-07 Invensas Corporation Method and structures for via substrate repair and assembly
US9123780B2 (en) * 2012-12-19 2015-09-01 Invensas Corporation Method and structures for heat dissipating interposers
EP2973234B1 (en) 2013-03-12 2018-10-24 Arizona Board of Regents, a Body Corporate of the State of Arizona acting for and on behalf of Arizona State University Dendritic structures and tags
DE102013204337A1 (de) * 2013-03-13 2014-09-18 Siemens Aktiengesellschaft Trägerbauteil mit einem Halbleiter-Substrat für elektronische Bauelemente und Verfahren zu dessen Herstellung
US8772745B1 (en) 2013-03-14 2014-07-08 Lockheed Martin Corporation X-ray obscuration film and related techniques
US9832887B2 (en) * 2013-08-07 2017-11-28 Invensas Corporation Micro mechanical anchor for 3D architecture
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
EP2908337A1 (en) * 2014-02-12 2015-08-19 ams AG Semiconductor device with a thermally stable bump contact on a TSV and method of producing such a semiconductor device
US10847442B2 (en) * 2014-02-24 2020-11-24 Micron Technology, Inc. Interconnect assemblies with through-silicon vias and stress-relief features
US9596768B2 (en) * 2014-03-04 2017-03-14 Qualcomm Incorporated Substrate with conductive vias
US9299572B2 (en) 2014-03-07 2016-03-29 Invensas Corporation Thermal vias disposed in a substrate without a liner layer
US10381326B2 (en) 2014-05-28 2019-08-13 Invensas Corporation Structure and method for integrated circuits packaging with increased density
US20230005834A1 (en) * 2014-08-18 2023-01-05 Samtec, Inc. Electrically conductive vias and methods for producing same
JP2016066705A (ja) * 2014-09-25 2016-04-28 イビデン株式会社 プリント配線板およびその製造方法
US10123410B2 (en) 2014-10-10 2018-11-06 Lockheed Martin Corporation Fine line 3D non-planar conforming circuit
US10810731B2 (en) 2014-11-07 2020-10-20 Arizona Board Of Regents On Behalf Of Arizona State University Information coding in dendritic structures and tags
US9888579B2 (en) 2015-03-05 2018-02-06 Invensas Corporation Pressing of wire bond wire tips to provide bent-over tips
US9812359B2 (en) 2015-06-08 2017-11-07 Globalfoundries Inc. Thru-silicon-via structures
US10490528B2 (en) 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
US9490222B1 (en) 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10332854B2 (en) 2015-10-23 2019-06-25 Invensas Corporation Anchoring structure of fine pitch bva
US10181457B2 (en) 2015-10-26 2019-01-15 Invensas Corporation Microelectronic package for wafer-level chip scale packaging with fan-out
US9984992B2 (en) 2015-12-30 2018-05-29 Invensas Corporation Embedded wire bond wires for vertical integration with separate surface mount and wire bond mounting surfaces
US10130302B2 (en) 2016-06-29 2018-11-20 International Business Machines Corporation Via and trench filling using injection molded soldering
US9935075B2 (en) 2016-07-29 2018-04-03 Invensas Corporation Wire bonding method and apparatus for electromagnetic interference shielding
US10049981B2 (en) * 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
JP6808460B2 (ja) * 2016-11-29 2021-01-06 キヤノン株式会社 半導体装置及びその製造方法
US10299368B2 (en) 2016-12-21 2019-05-21 Invensas Corporation Surface integrated waveguides and circuit structures therefor
US9881867B1 (en) * 2017-01-19 2018-01-30 Nanya Technology Corporation Conductive connection structure having stress buffer layer
US10325839B2 (en) 2017-04-06 2019-06-18 International Business Machines Corporation Reduction of stress in via structure
US10204873B2 (en) * 2017-05-08 2019-02-12 Infineon Technologies Americas Corp. Breakable substrate for semiconductor die
WO2018232402A1 (en) 2017-06-16 2018-12-20 Arizona Board Of Regents On Behalf Of Arizona State University Polarized scanning of dendritic identifiers
JP7009111B2 (ja) 2017-08-17 2022-01-25 キヤノン株式会社 半導体装置及びその製造方法
US11152294B2 (en) * 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11598015B2 (en) 2018-04-26 2023-03-07 Arizona Board Of Regents On Behalf Of Arizona State University Fabrication of dendritic structures and tags
US20190357364A1 (en) * 2018-05-17 2019-11-21 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component Carrier With Only Partially Filled Thermal Through-Hole
JP2022521578A (ja) 2019-02-21 2022-04-11 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
KR20210088227A (ko) * 2020-01-06 2021-07-14 삼성전기주식회사 인쇄회로기판
KR102423664B1 (ko) * 2020-12-31 2022-07-20 엘지디스플레이 주식회사 표시모듈, 및 이를 포함하는 표시장치와 전자기기
KR20240012398A (ko) * 2021-05-25 2024-01-29 소니 세미컨덕터 솔루션즈 가부시키가이샤 반도체 패키지 및 전자 기기
CN115394789A (zh) * 2022-08-24 2022-11-25 京东方科技集团股份有限公司 显示基板及其制备方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003007370A1 (en) * 2001-07-12 2003-01-23 Hitachi, Ltd. Wiring glass substrate and method of manufacturing the wiring glass substrate, conductive paste and semiconductor module used for wiring glass substrate, and method of forming wiring substrate and conductor
US20030011173A1 (en) * 2001-07-16 2003-01-16 Shall Harold D. Folding fishing cart
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US6848177B2 (en) * 2002-03-28 2005-02-01 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US6993840B2 (en) * 2002-07-18 2006-02-07 Canon Kabushiki Kaisha Manufacturing method of liquid jet head
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
JP3891292B2 (ja) 2003-05-19 2007-03-14 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP2004349593A (ja) * 2003-05-26 2004-12-09 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US8372757B2 (en) * 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
JP4850392B2 (ja) * 2004-02-17 2012-01-11 三洋電機株式会社 半導体装置の製造方法
JP4387269B2 (ja) * 2004-08-23 2009-12-16 株式会社テクニスコ ビアが形成されたガラス基板及びビアの形成方法
US20060043534A1 (en) 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7329948B2 (en) * 2004-10-15 2008-02-12 International Business Machines Corporation Microelectronic devices and methods
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
JP4443379B2 (ja) * 2004-10-26 2010-03-31 三洋電機株式会社 半導体装置の製造方法
TWI303864B (en) * 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
US7741714B2 (en) 2004-11-02 2010-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure with stress-buffering layer capping interconnection metal layer
JP4891235B2 (ja) * 2005-06-01 2012-03-07 パナソニック株式会社 回路基板とその製造方法及びこれを用いた電子部品
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7528006B2 (en) 2005-06-30 2009-05-05 Intel Corporation Integrated circuit die containing particle-filled through-silicon metal vias with reduced thermal expansion
US7863187B2 (en) * 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
JPWO2007029337A1 (ja) * 2005-09-09 2009-03-12 富士通株式会社 データ損失を低減するアドホック系ネットワーク装置
US7410884B2 (en) * 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7855438B2 (en) 2006-09-19 2010-12-21 Infineon Technologies Ag Deep via construction for a semiconductor device
US20080094455A1 (en) * 2006-10-20 2008-04-24 Samsung Electronics Co., Ltd. Inkjet printhead heater and method of manufacture
JP5584474B2 (ja) 2007-03-05 2014-09-03 インヴェンサス・コーポレイション 貫通ビアによって前面接点に接続された後面接点を有するチップ
US7902069B2 (en) * 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
JP2009094235A (ja) 2007-10-05 2009-04-30 Fujikura Ltd 半導体装置及びその製造方法
US8486823B2 (en) * 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
US20090297879A1 (en) * 2008-05-12 2009-12-03 Texas Instruments Incorporated Structure and Method for Reliable Solder Joints
WO2009144643A1 (en) 2008-05-30 2009-12-03 Nxp B.V. Thermo-mechanical stress in semiconductor wafers
JP2010003796A (ja) 2008-06-19 2010-01-07 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2010010324A (ja) 2008-06-26 2010-01-14 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100997788B1 (ko) 2008-06-30 2010-12-02 주식회사 하이닉스반도체 반도체 패키지
JP5242282B2 (ja) * 2008-07-31 2013-07-24 株式会社東芝 半導体装置とその製造方法
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
KR20110050957A (ko) 2009-11-09 2011-05-17 삼성전자주식회사 반도체 소자의 관통 비아 콘택 및 그 형성 방법
US20110204517A1 (en) 2010-02-23 2011-08-25 Qualcomm Incorporated Semiconductor Device with Vias Having More Than One Material
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8723049B2 (en) 2011-06-09 2014-05-13 Tessera, Inc. Low-stress TSV design using conductive particles
US8816505B2 (en) 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias

Also Published As

Publication number Publication date
US20140332981A1 (en) 2014-11-13
WO2013019541A3 (en) 2013-04-18
TW201320287A (zh) 2013-05-16
US8816505B2 (en) 2014-08-26
WO2013019541A2 (en) 2013-02-07
US20150325498A1 (en) 2015-11-12
WO2013019541A4 (en) 2013-05-30
US10283449B2 (en) 2019-05-07
KR101928320B1 (ko) 2018-12-12
US20170250132A1 (en) 2017-08-31
US9214425B2 (en) 2015-12-15
US20130026645A1 (en) 2013-01-31
TWI538147B (zh) 2016-06-11
KR20140050693A (ko) 2014-04-29
JP2014526149A (ja) 2014-10-02
US9659858B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
JP6058664B2 (ja) 低応力ビア
JP6067679B2 (ja) 多孔質基板内のビア
JP6143851B2 (ja) 応力が低減されたtsv及びインタポーザ構造体
JP5941983B2 (ja) 導電性粒子を用いた低応力なシリコン貫通ビアのデザイン
JP2013533638A (ja) 裏面コンタクトがビアファースト構造体又はビアミドル構造体で接続された超小型電子素子
TW201240040A (en) Stacked microelectronic assembly with TSVs formed in stages with plural active chips
US10163757B2 (en) Method and structures for via substrate repair and assembly

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150724

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161207

R150 Certificate of patent or registration of utility model

Ref document number: 6058664

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250