JP5734409B2 - 処理ツールにおける粒子数減少のための空気流管理システム及び空気流管理システムを提供する方法 - Google Patents

処理ツールにおける粒子数減少のための空気流管理システム及び空気流管理システムを提供する方法 Download PDF

Info

Publication number
JP5734409B2
JP5734409B2 JP2013501433A JP2013501433A JP5734409B2 JP 5734409 B2 JP5734409 B2 JP 5734409B2 JP 2013501433 A JP2013501433 A JP 2013501433A JP 2013501433 A JP2013501433 A JP 2013501433A JP 5734409 B2 JP5734409 B2 JP 5734409B2
Authority
JP
Japan
Prior art keywords
substrate
wafer
transfer section
processing area
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013501433A
Other languages
English (en)
Other versions
JP2013526009A (ja
Inventor
レンズ・エリック・エイチ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013526009A publication Critical patent/JP2013526009A/ja
Application granted granted Critical
Publication of JP5734409B2 publication Critical patent/JP5734409B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/42Auxiliary equipment or operation thereof
    • B01D46/44Auxiliary equipment or operation thereof controlling filtration
    • B01D46/446Auxiliary equipment or operation thereof controlling filtration by pressure measuring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening
    • Y10T137/863Access and outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Description

本出願は、総じて、半導体処理の分野に関するものであり、具体的な代表的一実施形態では、処理チャンバ内における粒子数を制御するシステム及び方法に関するものである。
半導体デバイスの製造では、例えば、処理チャンバ間におけるウエハの移送を可能にするために、多くの場合、複数のチャンバが境界を接している。移送は、通常は、例えば、境界を接しているチャンバの隣同士の壁に設けられたスロット又はポートを通して移送モジュールがウエハを移動させることによって実施される。移送モジュールは、一般に、半導体エッチングシステム、材料蒸着システム、及びフラットパネルディスプレイエッチングシステムなどの様々なウエハ処理モジュール(PM)と併せて使用される。
半導体デバイスの幾何学性(すなわち、集積回路の設計ルール)は、このようなデバイスが数十年前に初めて導入されて以来、サイズを劇的に縮小させてきた。処理チャンバ内で形成される集積回路(IC)は、概ね「ムーアの法則」にしたがっており、これは、1枚の集積回路チップ上に搭載されるデバイスの数が、2年ごとに倍増することを意味する。現在のIC製造設備(「製造工場」)は、特徴サイズが65nm(0.065μm)及びそれ未満のデバイスを日常的に作成している。製造工場は、近い将来、更に小さい特徴サイズのデバイスを作成するようになるだろう。特徴サイズの縮小に比例して増すのは、汚染及び粒子を削減するための予算である。なぜならば、任意のICに対し、1つの30nm粒子ですらキラー欠陥となりえるからである。
歩留まり及び費用を基準にした観点からすると、恐らく、より重要なのは、製造プロセスで使用される機器(例えば処理ツール)の種類が主要な技術推進力になりつつあることである。製造プロセスは、効果的でなければならないが、同時にまた、高速で、尚且つ粒子又は汚染の予算を増加させない必要もある。現世代の300mmウエハに対する現時点のスループット要求は、多くの応用で、毎時360枚又はそれ以上である。現段階では、システムは、単一キャリアによる線形ウエハ運動のみを使用しており、これは、ウエハキャリアが処理ツール内で開始地点に戻される間の非生産期間を必要とする。したがって、ウエハの取り扱いが遅い。スループットを向上させるために提案された解決策は、複数の処理ツールを並列につなげることに焦点を合わせてきた。このような解決策は、ウエハのスループットを向上はさせるが、これは、ツールの設置面積と、機器費用の増加と、信頼性の低下と、多くの場合はツール内におけるウエハ搬送メカニズムからの粒子生成の増加とを犠牲にして成し遂げられている。したがって、機器の信頼性、スループット、及び効率に特別に重点を置いた改善が、半導体処理の分野で必要とされている。
添付の各種の図面は、本発明の代表的実施形態を示しているに過ぎず、本発明の範囲を限定するものと見なすことはできない。
機器前工程モジュール(EFEM)と、処理モジュールと、エレクトロニクス収納とを含み、本明細書で説明される発明内容を少なくとも取り入れた、代表的な基板処理ツールの分解斜視図である。
処理チャンバを含む、図1Aの処理モジュールの斜視図である。
図1Bの処理チャンバ内で使用される、代表的なクロックアーム型基板キャリアメカニズムの斜視図である。
図2のクロックアーム型基板キャリアメカニズムと併せて図1Bの処理チャンバ内で使用される、代表的な基板横移動メカニズムの斜視図である。
代表的実施形態において図3の基板横移動メカニズムと併せて使用される、図2のクロックアーム型基板キャリアメカニズムの平面図である。
処理チャンバの下部の斜視図であり、図4の代表的なクロックアーム型基板キャリアメカニズム及び基板横移動メカニズムとともに使用され、図1Bの処理モジュール内に位置付けられる、代表的な処理チャンバ排気場所及び排液場所を示している。
図1Bの処理チャンバ内で使用される、代表的な処理チャンバ排気・排液システムを示した斜視図である。
図1AのEFEM及び処理モジュールに出入りする体積流量を示した代表的な空気取り扱いの図式である。
計算流体力学(CFD)モデリングで使用される図1Bの処理チャンバの固体部品の代表的な斜視図及び代表的な平面図である。
図7Aの固体部品を用いた計算流体力学モデリングで使用される、図1Bの処理チャンバの流体領域の(いずれも対称軸の一方の側から見た)斜視図及び側面図である。
図1Bの処理チャンバ内における、一般的な空気流運動の算定粒子跡を、(いずれも対称軸の一方の側から見た)斜視図、平面図、及び側面図で示した図である。
図1Bの処理チャンバ内における、陰圧の場所を立証するための算定圧力場を、(いずれも対称軸の一方の側から見た)斜視図及び平面図で示した図である。
図1Bの処理チャンバ内における、高効率粒子エアフィルタ(HEPA)入口からの一般的な空気流運動の算定速度流場を、(対称軸の一方の側から見た)斜視図で示した図である。
図1Bの処理チャンバ内における、チャンバ入口のフードスリットから図5A及び図5Bに示されるような出口場所への一般的な空気流運動の算定速度流場を、(対称軸の一方の側から見た)斜視図で示した図である。
図1Bの処理チャンバ内における、チャンバイオン発生棒周囲におけるHEPA入口及びフードスリットからの一般的な空気流運動の算定速度流場を、(対称軸の一方の側から見た)側面図で示した図である。
図1Bの処理チャンバ内における、HEPA入口及びフードスリットからの圧力勾配の算定圧力場を、(対称軸の一方の側から見た)側面図で示した図である。
以下に続く説明は、本明細書で論じられる発明内容の様々な態様を具現化する例示のシステム、方法、及び技術を含む。以下の説明では、説明を目的として、発明内容の様々な実施形態の理解を与えるために数々の詳細が特定されている。しかしながら、当業者ならば、発明内容の実施形態がこれらの詳細を特定しなくても実施可能であることが明らかである。更に、周知の動作、構造、及び技術は、詳細に示されていない。
本明細書で使用される「又は」という用語は、包括的な意味又は排他的な意味のいずれにも解釈可能である。同様に、「代表的」という用語は、単純に、何かの例であると解釈される、又は目標を達成するための、必ずしも好ましい若しくは理想的ではないが見本となる手段であると解釈される。また、以下で論じられる様々な代表的実施形態は、基板搬送メカニズム及び関連の汚染関連削減技術に焦点を合わせているが、これらの実施形態は、開示内容を明瞭にするために与えられたものに過ぎない。したがって、あらゆるタイプの基板搬送メカニズムが、本明細書で説明されるシステムの様々な実施形態を用いることができ、本発明内容の範囲内であると見なされる。
更に、本明細書で使用される「基板」という用語は、単純に、半導体及び関連の産業で使用される様々な基板タイプのうちの任意を指す便利な用語として選ばれたものである。したがって、基板タイプは、シリコンウエハ、化合物ウエハ、薄膜ヘッドアセンブリ、ポリエチレンテレフタレート(PET)フィルム、フォトマスクブランクス及びレチクル、又は当該分野で知られる数々のその他のタイプの基板を含むことができる。
本明細書で詳しく説明される様々な代表的実施形態では、例えば半導体産業で使用されるウエハ洗浄ツールなどの処理ツール内で搬送又は処理されている基板に粒子汚染が接触することを阻止するろ過空気を提供するための方法及びシステムが開示される。方法及びシステムは、更に、ツール内における処理チャンバの化学エリアに対する要求の変動を可能にしつつ、基板通過設計内における化学物質及び蒸気の閉じ込めを維持する。フィルタリングユニットが、基板の上方から空気を提供する。フィルタリングユニットは、メインテナンスのために移動させることが可能であり、また、基板搬送・処理エリアの上方に隙間を有し、これは、振動移送を抑えつつ、ユニットを容易に移動させることを可能にする。代表的一実施形態では、空気は、化学セクションと基板移送セクションとの間に圧力差を提供するように設計されたスロットを通って処理チャンバの化学セクションに入る。基板は、チャンバの下方領域からの空気流を最小限に抑えるスロットを通って化学ゾーンから退出する。化学領域に入る支配的な空気流は、チャンバの下表面から粒子が吹き上がることを抑える上方スロットを通してである。基板搬送メカニズムがチャンバの化学エリアを通過するときに、基板は、2つのスリットを通過する。この2つのスリットでは、圧力差が維持され、それによって、化学物質の蒸気を非化学エリアに入らないように維持しつつ、粒子を化学エリアから引き離す。本明細書で説明される様々な代表的実施形態は、こうして、チャンバ内における各種領域を隔てるスリットを基板が通り抜ける際に基板の表面上の空気速度が低くなることを可能にする。様々な代表的実施形態は、更に、化学物質の蒸気が化学領域を出ていくことを抑制又は阻止し、高粒子領域からの空気を基板に到達させないように掃き払う。
別の代表的実施形態では、設計された圧力差によって形成される空気流が、線形ベルトを露出させた複数の線形基板搬送器から粒子が移ることを抑制又は阻止する。本明細書で説明されるように、線形ベルト駆動滑走部によって駆動される複数の基板キャリアは、摩擦、及び移動する機械的パーツゆえに、粒子発生源になる傾向がある。滑走部又はベルトから生成された粒子は、基板に乗り上げることを阻止されるのが理想的である。粒子終端速度に関する支配方程式を使用することによって、線形滑走部につなぐために基板が行き来する水平スロットを通る空気流の要件が決定された。約50μm未満の粒子サイズの粒子について、対象サイズ範囲の粒子の終端速度よりも速く流れるために必要とされる空気流速度が決定された。50μmよりも大きい粒子は、水平スロットを横切れないように、十分に高い速さで落下するだろう。スリットへの排出位置が一方の端にある場合でもスロット流量が一様になるように、バッフルが設計された。
したがって、代表的一実施形態では、基板生産ツールにおける空気流管理を提供するためのシステムが開示される。システムは、ハウジングにろ過空気を提供するためのファンフィルタユニットに基板生産ツールをつなぐためのハウジングと、基板生産ツールを減圧排気メカニズムにつなぐための設備結合部と、ハウジングの下方につながれ、設備結合部との間で空気流を連通させる基板移送セクションと、1つ又は2つ以上の基板移送スロットによって基板移送セクションにつながれた基板処理エリアとを含む。基板移送セクション及び基板処理エリアを実質的に内包したチャンバが、ろ過空気を受け取るためにハウジングにつながれるとともに、超過気流の排出を提供するために設備結合部につながれる。チャンバは、基板処理エリア内を基板移送セクションと比べて低い圧力に維持する。
別の代表的実施形態では、ウエハ処理ツールにおける空気流管理を提供するためのシステムが開示される。システムは、ウエハ処理ツールにろ過空気を提供するためのファンフィルタユニットと、ウエハ処理ツールをウエハ製造設備の減圧排気メカニズムにつなぐための設備結合部と、ファンフィルタユニットの下方につながれ、設備結合部との間で空気流を連通させるウエハ移送セクションと、化学処理セクションを有するウエハ処理エリアとを含む。ウエハ処理エリアは、1つ又は2つ以上のウエハ搬送スロットによってウエハ移送セクションにつながれる。ウエハ移送セクション及びウエハ処理エリアを実質的に内包した処理チャンバが、ろ過空気を受け取るためにファンフィルタユニットにつながれるとともに、超過気流の排出を提供するために設備結合部につながれる。処理チャンバは、ウエハ処理エリア内をウエハ移送セクションと比べて低い圧力に維持する。
別の代表的実施形態では、基板生産ツールにおける空気流管理システムを提供する方法が開示される。方法は、基板生産ツールにろ過空気を提供するためのファンフィルタユニットに基板生産ツールをつなぐための第1のメカニズムを提供することと、基板生産ツール内の超過気流の排出を提供するための減圧排気メカニズムに基板生産ツールをつなぐための第2のメカニズムを提供することとを含む。基板生産ツールの基板処理エリアは、基板生産ツールの基板移送セクションの圧力よりも低い圧力に維持される一方で、減圧排気メカニズムの圧力よりも高い圧力に維持される。基板移送セクションは、減圧排気メカニズムの圧力よりも高い圧力に維持される。
図1Aを参照すると、半導体ウエハなどの基板を処理するために使用される機器の分解斜視図が示されている。処理ツール100(通例、処理ツール又はその他の基板生産ツールと呼ばれる)は、機器前工程モジュール(EFEM)110と、処理モジュール130と、エレクトロニクス収納150とを含むものとして示されている。
動作にあたり、EFEM110、処理モジュール130、及びエレクトロニクス収納150は、1つのユニットとして統合される。処理モジュール130は、処理チャンバ131(又は例えばその場(in-situ)計測チャンバなど、その中に基板を置かれるその他のチャンバタイプ)を含む。処理チャンバは、その中で基板一式に対して各種の処理を実施される基板移送セクションと基板処理エリアとを含んでよく、これらは、いずれも、以下で詳細に定められる。処理は、例えば、半導体及び関連の技術分野でそれぞれ知られている様々なタイプの例えば基板洗浄工程及び湿式エッチング(例えば化学エッチング)工程を含むことができる。また、処理モジュール130は、処理モジュール130内及び処理チャンバ131内におけるあらゆる粒子、有機物、又はその他の基板汚染を削減するために、通例は収納されている。更に、収納(不図示)は、機器のオペレータと、処理モジュール130内の移動メカニズムとの間における有害な相互作用のリスクを最小限に抑え、それによって、オペレータの安全を向上させる。エレクトロニクス収納150によって、EFEM110及び処理モジュール130に動作電力が供給される。
EFEM110は、幾つかの基板装填ステーション111と、第1のオペレータ制御インターフェース115Aと、第2のオペレータ制御インターフェース115Bとを含むものとして示されている。これらの制御インターフェースの1つから、オペレータは、例えば、特定の基板一式のための処理レシピを入力する及び実行させることができる。EFEM110は、また、基板装填ステーション111の1つに載せられた正面開口式一体型ポッド(FOUP)113も含むものとして示されている。FOUP113は、半導体ウエハ(例えば、一般的にはシリコンウエハ(Si)であるが、ゲルマニウム(Ge)などの元素半導体材料、又はヒ化ガリウム(GaAs)若しくはヒ化インジウム(InAs)などの化合物半導体材料で形成されたその他の様々なウエハタイプを含むこともできる)を保持するように設計された特定タイプのプラスチック製収納である。FOUP113は、制御環境内で、ウエハ(不図示)をしっかりと且つ安全に保持する。図1Aでは明示されていないが、当業者ならば、FOUPが各基板装填ステーション111に同時に存在してもよいことを容易に認識できる。各FOUPには、1つ又は2つ以上のロボット(不図示)を関連付けることができる。
FOUP113が基板装填ステーション111の1つに載せられたら、EFEM110内のロボット(不図示)は、FOUP113に含まれているウエハに、直接アクセスすることができる。EFEM110は、したがって、例えば2枚刃又は4枚刃のロボット(図示されてはいないが、それぞれ当該分野で知られている)を通じてオペレータが基板を装填すること及び基板をFOUP113から取り出して処理チャンバ131に入れることを可能にする。特定のロボットタイプに限定はされないが、利用可能なロボットの1つは、例えば、アメリカ合衆国ミシガン州のウィクソムにあるKawasaki(USA),Inc.によって製造されたモデルFC06Nである。具体的な代表的実施形態では、ロボットは、隣り合う刃の間の間隔がおおよそ10mmの4枚の3.8mm刃を有する折り畳み式のエンドエフェクタを取り入れることができる。10mmの間隔は、代表的なFOUPにおけるウエハとウエハとの間の間隔に一致する。処理チャンバ131内で生じる様々な搬送処理の詳細は、図4A、図4B、及び図5を参照にして、後ほど更に詳しく説明される。
次に、図1Aと同時に図1Bを参照すると、基板(不図示)は、ロボットによって、FOUP113から、クロックアーム型基板キャリアメカニズム(図1Aにも図1Bにも明示されていないが、図2を参照にして、後ほど詳しく説明される)に載せられた幾つかの基板キャリア135(すなわち、回転搭載式基板キャリア)の1つへ搬送される。基板は、基板搬送スロット133を通って処理チャンバ131内に装填される又は処理チャンバ131から取り出される。
ファンフィルタユニット(FFU)137内に置かれた高効率粒子エア(HEPA)フィルタが、実質的に清浄な空気を処理チャンバ131内に供給する。FFU137は、処理チャンバ131内に空気流及び特定の圧力勾配を提供し、基板の上及び周囲の粒子汚染を削減するために、処理チャンバ131の上方に位置付けられる。空気流は、小粒子が通り抜けることができない境界層を基板の上方に形成することによって、粒子汚染を部分的に削減する。次いで、大粒子及び小粒子の両方が、処理チャンバ131から掃き出され、後述される排気システムに入る。形成された圧力勾配(後ほど更に詳しく説明される)の使用を通じて、化学物質の閉じ込めも改善される。
FFU137は、本明細書ではHEPAフィルタを用いたものとして説明されているが、当業者ならば、例えばULPAフィルタにおける圧力降下の増加に対処するために必要とされるファン容量を追加することによって、HEPAフィルタの代わりにその他のフィルタタイプ(例えば超低粒子エア(ULPA)フィルタ)で代用できることを認識できる。FFU137によって形成される空気流及び圧力勾配の計算は、図6〜7Hを参照にして、後ほど論じられる。処理チャンバ131は、また、FFU137の長軸に平行に走るイオン発生棒139も含むものとして示されている。イオン発生棒139は、FFU137からの空気流が基板の面の上を流れることで発生する摩擦によって引き起こされて処理チャンバ131内で基板上に蓄積するだろう静電荷を削減する。帯電した基板は、逆帯電した粒子をいっそう容易に惹き付ける。当業者にならば知られているように、任意の表面(例えば基板)上の電荷を削減するイオン発生器の能力は、時間によって決定される。したがって、イオン発生棒139は、比較的長い滞在時間(例えば1秒から5秒、ただし、局在する空気流によって少なくとも部分的に決定される)が基板上の静電荷の意図される削減を助けるように、(イオン発生棒の下に位置する)基板に相対的に位置付けられる。イオン発生棒139は、処理チャンバ131内におけるその他の位置に位置付けること、単極性(すなわち、陰イオン若しくは陽イオンを生成する)又は双極性(すなわち、平衡化イオン生成器)であること、その他のサイズ及び形状であること、並びに処理チャンバ131内における基板上方の数々の位置を事例とすることができる。具体的な代表的一実施形態では、イオン発生棒139は、長さがおおよそ64インチ(約1.63m)である。
具体的な代表的一実施形態では、FFU137は、おおよそ910立方フィート毎分(cfm、又は約25.8m3毎分)の体積流量を有し、HEPAフィルタの出口側からの面速度は、おおよそ90フィート毎分(fpm、又は約27.4m毎分)である。その他の実施形態では、FFU137は、おおよそ1300立方フィート毎分(約36.8m3毎分)の体積流量を有する。HEPAフィルタは、0.3μmの粒子に対して99.99995%のフィルタ効率を持つ、テトラフルオロエチレン(TFE)材料で形成することができる。当業者ならば、上述されたULPAフィルタが、(0.12μmの粒子について測定された)更に高い効率を有することができることを認識できる。FFU137及び処理チャンバ131は、基板搬送の対価として追加される55nm以下のサイズの粒子が5つ未満であるように設計される。
引き続き図1Bを参照すると、第1のチャンバ排気管141及び第2のチャンバ排気管143は、処理チャンバ131の両側から管交差アセンブリ145内へ粒子及び流体(例えば、FFU137によって生成された空気などの超過処理気体、及び超過処理液体)を引き入れる。代表的一実施形態では、チャンバ排出筒147は、補給空気流を提供し、管交差アセンブリ145に入る粒子及び流体が製造設備内の排気/排液システム(不図示)に容易に引き入れられることを可能にする。その他の代表的実施形態では、チャンバ排気筒147は、随意として、空気の引き入れを可能にするために製造設備内の排気結合部につなぐことができる。排気システムに関する更なる詳細は、図5A及び図5Bを参照にして、後ほど提供される。
図2は、クロックアーム型基板キャリアメカニズム200の代表的一実施形態を示している。クロックアーム型基板キャリアメカニズム200は、各端に1つの基板キャリア135を関係付けられた幾本かの回転式アーム201と、内側行路セクション203と、外側行路セクション205と、基板リフタ207とを含むものとして示されている。各回転式アーム201は、独立に駆動されてよく、ゆえに、残りの回転式アーム201とは独立に開始させる、停止させる、及び加速させることができる。また、4本の回転式アーム201のみが示されているが、クロックアーム型基板キャリアメカニズム200は、任意の本数のアームを扱うように適応させることができる。アームの本数は、例えば外側行路セクション205の直径などの物理的サイズ、及び各基板キャリア135の物理的サイズによって少なくとも部分的に決定される。回転式アーム201及び基板キャリア135は、与えられた基板サイズに適応するように、必要に応じてサイズ調整可能である。例えば、基板キャリア135は、300mmシリコンウエハ、100mmヒ化ガリウム(GaAs)ウエハ、又は次世代の450mmウエハに対応するように設計可能である。
具体的な代表的一実施形態では、外側行路セクション205は、回転式アーム201の中間点から基板キャリア135の中心にかけての30インチ(おおよそ760mm)の半径に適合するように物理的に配置される。上述のように、外側行路セクション205は、利用される回転式アームの本数及び扱われる基板のサイズに応じて適切にサイズ決定することができる。
基板リフタ207は、例えば半導体産業で通例知られて尚且つ使用されている任意の一般的タイプのものであってよい。図に示されるように、基板リフタ207の2つの事例は、互いにおおよそ180°の間隔を空けられている。その他の実施形態(不図示)では、より多数の基板リフタ207を使用可能である。
また、基板リフタ207の一方又は両方は、クロックアーム型基板キャリアメカニズム200を通じて基板の180°回転を補正するために、180°回転させることができる。発生する回転は、したがって、後述のように、クロックアーム型キャリアと線形キャリアとの間で基板を移動させるときに発生する。一方の基板リフタ207のみが180°回転するときは、その180°回転は、基板をクロック型キャリアから線形キャリアに移動させるときに、及び基板を線形キャリアからクロック型キャリアに移動させるときに発生する。
一般的な動作にあたり、特定の基板キャリア135が1つの基板リフタ207の上方に位置決めされたら、外部ロボット(不図示)は、或る基板キャリア(例えばウエハ皿若しくはFOUP113)と基板リフタ207の1つとの間でウエハを移動させることができる。選択された基板リフタ207は、次いで、基板を下降させ、特定の基板キャリア135に載せた後、任意の回転式アーム201、又はクロックアーム型基板キャリアメカニズム200に含まれるその他の任意の移動メカニズムとの衝突を回避するのに足る十分な遠さまで、自身を下げ続ける。
引き続き図2を参照すると、クロックアーム型基板キャリアメカニズム200は、更に、基板がすぐ近くを通過するのに伴って化学物質(例えば、洗浄用若しくはエッチング用の化学物質を様々に組み合わせたものなど)を吹き付ける又はその他の形で施すことができるように位置付けられた上方化学物質放出ヘッド211及び下方化学物質放出ヘッド213を含む。少なくとも2つのヘッドを使用することによって、基板を反転させる必要なく1回の通過でウエハの両側に化学物質を施すことが可能になる。或いは、上方化学物質放出ヘッド211及び下方化学物質放出ヘッド213は、基板の両側に同時に化学物質を施すように配置することができる。当業者ならば認識できるように、任意の数の化学物質放出ヘッドが利用可能である。
具体的な代表的一実施形態では、上方化学物質放出ヘッド211及び下方化学物質放出ヘッド213の各々は、クロックアーム型基板キャリアメカニズム200の外周における断面幅が内周における断面幅よりも広い、「パイ切れ」形状をとるように設計される。パイ切れ形状は、基板の内側部分と比べて基板の最外部分における角速度が高いことに適合している。したがって、例えば、基板に向けられる吹き付けノズルの数を増やすなどによって、より多くの化学物質を基板の外側部分に供給可能であり、そうして、基板の各面が化学物質によって均等に覆われることが保証される。
本明細書で説明された様々な特徴の結果、クロックアーム型基板キャリアメカニズム200は、連続フロー製造を提供することができ、連続する基板と基板との間に大きな時間的間隙を伴うことなく処理を行うのに有用である。上記のように、湿式化学洗浄又はエッチングは、幾つかの様々な工程を伴うことができる。湿式化学の開始及び停止は、制御が難しく、無駄が多く、尚且つ非効率的である。クロックアーム型基板キャリアメカニズム200は、各基板キャリア135に完全なる360°の弧を描かせることによって、連続モードで基板を処理する。ウエハの洗浄も処理も発生しない180°の戻りを必要とする線形システムのみを提供する様々な先行技術システムと異なり、クロックアーム型基板キャリアメカニズム200は、相対する両側で並列洗浄処理を同時に実行することができる。その結果、化学制御を共有することができ、そうして、制御システムのオーバーヘッド及び冗長な回路構成が低減される。このようにして、現在の線形システムを比べて300%もの化学物質の節約(すなわち、化学物質の使用量にして4分の1への削減)が可能である。
処理チャンバ131(図1Bを参照せよ)内では、少なくとも2つの並列処理、すなわち化学制御と基板運動とが同時に発生する。図3を参照にして、後ほど更に詳しく説明されるように、基板キャリア135の速度及び加速度の独立制御は、退出工程と、1枚又は2枚以上の基板の装填及び取り出しとを実質的に同時に可能にする。基板キャリア135の独立制御は、更に、やはり後ほど更に詳しく説明されるように、キャリアの装填又は取り出しの際にキャリアを加速させて処理フローに追いつかせることも可能にする。
次に、図3を参照すると、上側行路301のペアと、下側行路303のペアと、右向き搭載基板キャリア305のペアと、左向き搭載基板キャリア307のペアとを含むものとして、基板横移動メカニズム300の代表的一実施形態が示されている。図に示されるように、基板キャリアは、クロックアーム型基板キャリアメカニズム200の回転式アーム201に平行な面内にある状態で、互いに平行な面内で移動可能である。各キャリアは、また、単に、基板の全体的な移動及び搬送を以下で説明する助けとする目的で、半導体基板311を保持した状態で示されている。基板搬送スロット133を基準として基板横移動メカニズム300がどこに位置付けられるかも、図3に表示されている。
右向き搭載基板キャリア305ペア及び左向き搭載基板キャリア307ペアの各々は、モータ309によって、独立に線形駆動される。モータは、幾つかのモータタイプから選択することができる。例えば、具体的な代表的一実施形態では、各モータ309は、一体型のエンコーダを伴ったSM2315Dサーボモータ(アメリカ合衆国カリフォルニア州サンタクララ、3200Patrick Henry DriveのAnimatics Corporationから入手可能)などの、標準的なNEMA23フレームサイズであってよい。明示されてはいないが、キャリアは、線形アクチュエータ(例えば、線形ベルト駆動システム)によって所定のキャリアに関連付けられたモータ309によって駆動される。このような線形アクチュエータシステムは、当該分野で独立に知られている。例えば、基板横移動メカニズム300のためのキャリア駆動メカニズムとして、(ドイツ連邦共和国エスリンゲン、Ruiter Strasse 82のFESTO KGによって製造された)Festo(登録商標)EGC−50ベルト駆動式線形アクチュエータが利用可能である。
様々な代表的実施形態において本明細書で説明されるように、基板横移動メカニズム300は、行路、基板キャリア、モータ、及び関連の駆動メカニズムを特定の数だけ有するものとして示されている。しかしながら、当業者ならば、本明細書で説明される概念が、任意の数の行路及び基板キャリアに容易に適用可能であることを認識できる。
次に、図4を参照すると、代表的一実施形態は、クロックアーム型基板キャリアメカニズム200(図2を参照せよ)を基板横移動メカニズム300(図3を参照せよ)と併せて平面図400で示している。この代表的実施形態では、基板横移動メカニズム300は、クロックアーム型基板キャリアメカニズム200の上方で動作する。
次に、図2及び図4を同時に参照して、クロックアームメカニズムと横移動メカニズムとを組み合わせた代表的動作が説明される。処理チャンバ131(図1Bを参照せよ)内で基板が処理された後、回転式アーム201の1つが、基板リフタ207の1つ(例えば、基板搬送スロット133とは反対側に位置するリフタ)の上方で一時的に停止される。その基板リフタ207は、回転式アーム201の上にある基板キャリア135から、半導体基板311を持ち上げる。基板横移動メカニズム300上の基板キャリアの1つ(例えば、右向き搭載基板キャリア305の1つなど)が、まだ適所に無いならば、基板リフタ207の後ろの位置(すなわち、横移動器の、基板搬送スロット133とは反対側の端の極限位置又はその近く)まで横移動される。基板リフタ207は、次いで、右向き搭載基板キャリア305のキャリア最上面の邪魔にならない十分な高さまで、半導体基板311を持ち上げる。キャリアは、次いで、半導体基板311を受け取るために(すなわち、基板を載せたリフタの下にキャリアの中心を合わせるために)横方向に移動し、すると、基板リフタ207は、下降し、そうして基板を右向き搭載基板キャリア305に載せる。基板リフタ207は、基板キャリア135の最下部によって形成された面の下まで下がり続ける。この時点で、事前に停止された回転式アーム201は、別の位置まで移動可能である。半導体基板311が右向き搭載基板キャリア305の上に搭載されたら、基板は、基板搬送スロット133まで線形に搬送され、ロボット(不図示)によってFOUP113(図1Aを参照せよ)内のスロットに戻ることができる。
今述べられた基板除去プロセスと実質的に同時に、ロボットによって、未処理基板をFOUP113から取り出して、例えば左向き搭載基板キャリア307の1つに載せることが可能である。(図3を再び参照し、左向き搭載基板キャリア307が汚れた基板のキャリアと見なされてよく、右向き搭載基板キャリア305が清浄な基板のキャリアと見なされてよいことを想起せよ。)未処理ウエハは、基板リフタ207の1つを使用して、今は停止されている回転式アーム201の1つの基板キャリア305に載せることができる。例えば、未処理ウエハは、上述された、処理済み基板を取り除かれたばかりの同じ基板キャリア135に載せることができる。(引き続き図3を参照し、基板横移動メカニズム300上の基板キャリアの各々が互いに異なる高さで横方向に移動されること、及びそれゆえに、処理チャンバ131から取り出されている最中の処理済み基板と処理チャンバ131に入ってくる最中の未処理基板との間における衝突が回避されることを想起せよ。)或いは、未処理基板は、処理済みウエハを取り除かれた回転式アーム201とは反対側の端にある基板キャリアに載せることもできる。更に別の代替案では、未処理基板は、任意の回転式アーム201のいずれかの端にある基板キャリアに載せることができる。当業者ならば、基板のスループットを向上させるために、追加の回転式アーム、基板リフタ、及び線形基板キャリアが更に追加可能であることを認識できる。
更に、説明された設計のクロックアーム型基板キャリアメカニズム200及び基板横移動メカニズム300は、基板の各引き渡しを単軸移動にすることができる。例えば、引き渡しは、基板を移送するための第1のメカニズムと、基板を受け取るための第2のメカニズムの、2つの部品を必要とする。しかしながら、本明細書で説明されるように、2つのメカニズムの1つは、移動しないので(すなわち、静止しているので)、基板移送動作の信頼性が高まり、2つのメカニズム間における連絡の問題が大幅に軽減される(例えば、一方のメカニズムが移動しないゆえに、タイミングの問題があまり切迫していない)。ゆえに、ロボットが基板を移動させる場所は、常に、相対的に固定されている。固定された場所は、(クロックアーム型基板キャリアメカニズム200の回転式アーム201が互いに独立しているゆえに、)十分な時間間隔と相まっている。その結果、毎時あたり基板500枚超えという高いスループットを容易に達成することができる。また、ロボットを除けば、本明細書で説明される全ての移動は、単軸であり、これは、クロックアーム型基板キャリアメカニズム200及び基板横移動メカニズム300が比較的安価に作成されることを可能にする。
右向き搭載基板キャリア305及び左向き搭載基板キャリア307のC字型構造は、基板リフタ207のいずれもが基板キャリアに妨害されることなく上昇及び下降されることを可能にする。基板リフタ207が垂直に上昇されるのに伴って、基板リフタ207の指は、基板キャリア135のスロットを通り抜ける。基板リフタ207が引き続き上昇されるにつれて、左向き搭載基板キャリア307は、基板リフタ207の指の周囲と、及びその結果として半導体基板311の周囲と同心になる(すなわち、中心を合わせられる)まで横方向に移動させることができる。基板リフタ207は、次いで、下降し、半導体基板311は、すると、捉えられて、左向き搭載基板キャリア307によって保持される。C字型構造は、本明細書で説明される発明内容の態様が機能するために必ずしも必要ではないが、当業者ならば、C字型キャリアが有する幾つかの動作上の利点を認識できる。また、当業者ならば、回転式アーム201が全て、互いに独立に移動させることができるゆえに、たとえアームのうちの1本が装填又は取り外しのために停止したときでも、その他のアームは引き続き移動可能であり、そうしてシステム全体の効率及びスループットが大幅に向上されることがわかる。
次に、図5Aを参照すると、処理チャンバ131(図1Bを参照せよ)の下方チャンバ部分500の斜視図は、図4の代表的なクロックアーム型基板キャリアメカニズム及び基板横移動メカニズムと併せて使用される代表的な処理チャンバ排気場所及び排液場所を示している。実際は、排気又は排液システムの一部ではないが、下方チャンバ部分500は、基板リフタ207(図2を参照せよ)のための開口501ペアを含むものとして示されている。この開口501ペアは、排気又は排液システムの一部ではないが、主として図5Aの完全性のために示されており、例えば約50cfm(おおよそ1.4m3毎分)の空気流が、基板に対する汚染の可能性を更に下げるために開口501ペアの各開口を通して引き出し可能である。
下方チャンバ部分500は、また、幾つかの外側横移動器排気ポート503A、503Bと、幾つかの処理排気ポート505A、505Bと、幾つかの内側横移動器排気ポート507A、507Bと、処理排液ポート509ペアとを含むものとしても示されている。これらの各種の排気ポート及び排液ポートは、FFU137(図1Bを参照せよ)からの空気流が、処理チャンバ131内における粒子数を減少させること及び化学物質の閉じ込めを提供することを可能にするように配置されている。処理チャンバ131内における空気流及び圧力勾配の解析に関しては、図7A〜7Gを参照にして、後ほど更なる詳細が提供される。
図5Bは、図5Aの下方チャンバ部分500の下方に機械的につなぐように配置された代表的な処理チャンバ排気・排液システム550の斜視図を示している。図5Bは、したがって、図5Aの各種の排気ポート及び排液ポートが、図1Bの処理モジュール130との関係においてどのように相互に結合されるかの、より良い理解を当業者に与える。処理チャンバ排気・排液システム550は、また、入口処理排液マニホールド551と、Pトラップ553と、二次的な貯蔵トレイ555とを含むものとしても示されている。入口処理排液マニホールド551は、処理排液ポートの各々を、管交差アセンブリ145の下方で合流させる。防臭弁553は、防臭弁553の最下部内の溜まり液によって形成される実質的な気密シールを提供する。溜まり液は、製造設備との結合部からの気体が処理チャンバ131に逆流することを阻止し、そうして、逆流気体からのあらゆる化学物質又はその他の汚染を削減する。二次的な貯蔵トレイ555は、そうでなければ処理モジュール130から漏れ出る又は滴り落ちるだろう液体を捉える。代表的一実施形態では、化学物質の排液管路に、ポリ二フッ化ビニリデン(PVDF)材料を利用可能である一方で、各種の気流管路には、塩素化ポリ塩化ビニル(CPVC)材料を利用可能である。当業者ならば、当該分野で独立に知られているその他の材料も利用可能であることを認識できる。
具体的な代表的一実施形態では、処理チャンバ131の化学ゾーンの各々から約120cfm(おおよそ3.4m3毎分)の体積空気流に、追加で両処理チャンバ131の非化学ゾーンから(合わせて)160cfm(おおよそ4.5m3毎分)の体積空気流が加わって、合計してチャンバから約400cfm(おおよそ11m3毎分)の体積空気流が引き出される。処理チャンバ131内における基板に対する粒子汚染を削減することに加えて、空気流は、更に、1つのチャンバから別のチャンバへの化学物質の越流を低減することも助け、また、そうして、そうでなければ製造設備に逃げるだろう化学物質蒸気の量も削減する。この具体的な代表的一実施形態では、空気流の合計は、少なくとも部分的に、以下のように分けることができる。外側横移動器排気ポート503Aの各々は、約24cfm(おおよそ0.68m3毎分)を引き出し、外側横移動器排気ポート503Bの各々は、26cfm(おおよそ0.74m3毎分)を引き出す。内側横移動器排気ポート507Aの各々は、約12.5cfm(おおよそ0.35m3毎分)を引き出し、内側横移動器排気ポート507Bの各々は、約25cfm(おおよそ0.71m3毎分)を引き出す。処理排気ポート505Aの各々は、約48cfm(おおよそ1.4m3毎分)を引き出し、処理排気ポート505Bの各々は、約52cfm(おおよそ1.5m3毎分)を引き出す。
第1のチャンバ排気管141及び第2のチャンバ排気管143における処理排気ポート505Aの各々の付近には、約0.5インチH2O(おおよそ0.9トール)のゲージ陰圧が存在し、処理排気ポート505Bの各々の付近には、それを僅かに上回る約0.6インチH2O(おおよそ1.1トール)のゲージ陰圧が存在する。第1のチャンバ排気管141から管交差アセンブリ145に入る排気圧は、約0.8インチH2O(おおよそ1.5トール)であり、第2のチャンバ排気管143から管交差アセンブリ145に入る陰圧は、それを僅かに上回る約1.2インチH2O(おおよそ2.2トール)である。処理排液ポート509の各々は、約6cfm(おおよそ0.17m3毎分)を引き出す。チャンバ排気筒147と設備インターフェースとの結合における排気陰圧は、約400cfm(おおよそ11m3毎分)の体積引き出しにおいて、約1.7インチH2O(おおよそ3.2トール)である。明示されてはいないが、チャンバ空気流を確認するために、チャンバ排気筒147内で圧力センサが利用可能である。圧力センサは、万が一排気陰圧が既定レベルを下回ったときに、システム起動又は継続動作のいずれも阻止するために、例えば第1のオペレータ制御インターフェース115A(図1aを参照せよ)において、処理ツール100に配線接続することができる。
次に、図1B及び図6を同時に参照すると、代表的な空気取り扱いの図式は、図1AのEFEM110及び処理モジュール130に出入りする体積空気流量を示している。当業者ならば、全ての体積流量が、概算に過ぎず、システムの全体設計の理解を助けるために与えられたものであることを認識できる。その他の流量も、利用可能である。この代表的実施形態では、(ともにFFU137内にある)1つ又は2つ以上のファン601によってHEPAフィルタ603内へ910cfm(おおよそ25.8m3毎分)の体積流量が生成される。最初の910cfmから、大体590cfm(おおよそ16.7m3毎分)が、直接に横移動器体積607を通って流れ、176cfm(おおよそ4.98m3毎分)が、チャンバ体積609に流入し、145cfm(おおよそ4.10m3毎分)の清浄な超過空気流が、FFU137の下方につながれたフード下の周囲スリット753(後ほど図7Bを参照せよ)を通って設備周囲に戻る。代表的一実施形態では、周囲スリット753は、高さ約12mmであり、フードの周囲に形成される。周囲スリット753を通る超過空気流は、約1m毎秒の流出速度を有し、万が一1つ又は2つ以上のファン601の体積空気出力が減少したときでも横移動器体積及びチャンバ体積へ常に十分な空気流を供給可能であることを保証するのに有用である。
引き続き図1B及び図6を参照すると、EFEMポート605は、横移動器体積607に別の90cfm(おおよそ2.5m3毎分)を追加し、そうして、基板装填ステーション(図1Aを参照せよ)上にある半導体基板311にかかる圧力を横移動器体積607よりも高く維持し、処理チャンバ131からEFEM110への逆流、及びその結果として製造設備への逆流からの、あらゆる化学物質汚染又は粒子汚染を削減する又は阻止する。横移動器体積607は、チャンバ体積609を基準として陽圧に維持される。圧力は、横移動器圧力計619Aによって監視される。横移動器圧力計619Aは、オペレータ制御インターフェースの1つ(例えば、図1Aの第1のオペレータ制御インターフェース115A)に電気的につなぐことが可能である。
横移動器体積607内の陽圧ゆえに、64cfm(おおよそ1.8m3毎分)の空気が横移動器体積607からチャンバ体積609に流入し、60cfm(おおよそ1.7m3毎分)の空気がレールスロット体積611(内側行路セクション203及び外側行路セクション205を含む。図2を参照せよ)に流入する。64cfmの体積空気流は、キャリアと概ね同面であり、チャンバ内における多くの乱流を阻止する速度ではあるが化学物質の蒸気が引き出されないようにキャリア速度よりも大きい速度、すなわち約3フィート毎秒から10フィート毎秒(おおよそ1m毎秒から3m毎秒)の間の速度に維持される。内側行路セクション203及び外側行路セクション205は、回転式アーム201を機械的に誘導するので、滑動部材のそれぞれの表面が合わさるところで幾らかの粒子汚染が生じる。したがって、60cfmの空気流は、レールスロット体積611から粒子をこすり落としてスクラブ排出部617に入らせる空気流を提供する。スクラブ排出部617は、システムの残りの部分を基準として陰圧に、すなわち約1〜2インチH2O(おおよそ1.9〜3.7トール)に維持される。横移動器体積607は、横移動器排気ファン411のペア(図4Bを参照せよ)から別の120cfmを生成する。図6は、120cfm(おおよそ3.4m3毎分)が周囲に散逸されることを示している。しかしながら、当業者ならば、横移動器排気ファン411のペアからの排気が、随意として、床排液システム体積613へ向けられてもよいことを認識できる。生成されて横移動器体積607に入った残りの436cfm(おおよそ12.3m3毎分)は、床排液システム体積613へ向けられる。
再びチャンバ体積609を参照すると、チャンバ体積609もやはり、チャンバ圧力計619Bによって監視されている。横移動器圧力計619A及びチャンバ圧力計619Bは、上記で示されたように、横移動器体積607及びチャンバ体積609からそれぞれ、粒子汚染及び化学物質汚染が適切に掃き払われることを保証する。
チャンバ体積609に入る240cfm(おおよそ6.8m3毎分)のうち、調整可能な部分の空気流は、化学物質ヘッド体積615(図2の化学物質放出ヘッドに関係している)及びレールスロット体積611へ向けられ、超過流は、スクラブ排出部67へ向けられる。周囲スリット753を通って設備周囲に戻る145cfmの清浄な超過空気流を除いて、実質的にその他の全ての空気流(化学物質又は粒子によって汚染されている可能性がある)は、設備内の床排液システム(FDS)613又はスクラブ排出部617を通って戻る。
次に、引き続き図6を参照しつつ図7Aを参照すると、図1Bの処理チャンバ131の固体部品の代表的(部分切り取り)斜視図700及び代表的平面図730が示されている。固体部品は、図5B及び図6を参照にして上述されたように、様々な空気流及び圧力勾配決定の計算流体力学(CFD)モデリングで使用される。代表的な斜視図700は、1つ又は2つ以上のファン601と、HEPAフィルタ603とを含むFFU137(図1Bを参照せよ)のためのハウジングエリア703を含むとして示されている。フード701は、ハウジングエリア703からの空気流を、基板横移動メカニズム(明瞭さを保つために、明示されてはいない)へ及び処理チャンバ131内へつなぐ。キャリアアーム通しスロット705は、横移動器体積607内の圧力をチャンバ体積609と比べて高く保つことを助け、そうして、処理チャンバ131の外側部分における化学物質閉じ込めを補助している。図2の回転式アーム201は、動作の際に、キャリアアーム通しスロット705を通って移動する。代表的な平面図730は、図2の化学物質ヘッドをその中に置くことができる化学エリア731を含むものとして示されている。
次に、図7Bを参照すると、(いずれも対称軸の一方の側から見た)斜視図750及び側面図770は、図7Aの固体部品を用いた計算流体力学モデリングで使用される、図1Bの処理チャンバの流体領域を示している。図7Bは、様々なCFDモデル流動図及びそれにしたがう圧力線図の全体的な理解を与えるものである。FFU137からの主要な空気入口751及びEFEM110からの副次的な空気入口757は、図1Bの処理チャンバ131内へ空気流を供給する。図6を参照にして上述された周囲スリット753は、清浄な超過空気流755を製造設備に戻す。上方化学物質放出ヘッド211及び処理排気ポート505A、505Bは、本明細書で説明される様々な図面(例えば図1B〜5B)の部品間の関係を当業者が理解することを助けるために示されている。図6を参照にして論じられたように、上述された各種の排出空気流は、最終的には実質的にFDS出口へ送られ、そうして、排出空気流出口759を提供する。
図7C〜7Hは、図5B及び図6を参照にして上述された発明内容の様々な態様をCFDモデリング技術の当業者がより完全に理解及び認識することを助けるための補助として含められている。例えば、図7Cは、図1Bの処理チャンバ内における、一般的な空気流運動の算定粒子跡を、(いずれも対称軸の一方の側から見た)斜視図750、平面図790、及び側面図770で示している。当業者ならば、この算定された粒子跡が、図6の空気取り扱いの図式に関して上述された一般的記述を追認することを認識できる。
図7Dは、処理チャンバ131内における、相対的な圧力差の場所を立証するための算定圧力場を、(いずれも対称軸の一方の側から見た)斜視図750及び平面図790で示している。算定された圧力場は、例えば、粒子閉じ込め領域及び化学物質閉じ込め領域の両方を立証している。化学物質の蒸気及び(例えば50μm未満の)更に小さい粒子は、減圧(すなわち、その他の周囲体積と比較して陰圧)エリアに実質的に閉じ込められる。
図7Eは、処理チャンバ内における、HEPA入口からの一般的な空気流運動の算定速度流場を、(対称軸の一方の側から見た)斜視図で示しており、一方で、図7Fは、チャンバ入口のフードスリットから各種の出口への一般的な空気流運動を、同様に示している。
図7Gは、処理チャンバの一部内における、イオン発生棒139の1本の周囲におけるHEPA入口及び周囲スリット753(図7Bを参照せよ)からの一般的な空気流運動の算定速度流場を、(対称軸の一方の側から見た)側面図で示している。同様に、図7Hは、処理チャンバ内における、HEPA入口及び周囲スリット753からの圧力勾配の算定圧力場を、(対称軸の一方の側から見た、そしてイオン発生棒139無しの)側面図で示している。
当業者ならば、本明細書で説明された様々な代表的実施形態を更に完全に理解するためには、図7B〜7Hの流動図及び圧力線図によって示された算定された流動場及び圧力場の各々が、図5Bの代表的な処理チャンバ排気・排液システム及び図6の代表的な空気取り扱いの図式の物理的配置に照らして検討されるべきであることがわかる。当業者ならば、更に、本発明で提供された様々な記述を前提とすれば、処理ツールにおける空気流管理のための代表的なシステムが、様々なツールに対して及び処理ラインの複数の地点において実装可能であることを認識できる。当業者ならば、更に、システムが、代表的な製造設備の様々な部分(例えば、前工程、後工程、及び試験工程)における複数の処理ツール及び計測ツールの両方に容易に取り入れ可能であることを認識できる。
更に、発明内容の概要は、具体的な代表的実施形態を参照にして説明されてきたが、これらの実施形態には、発明内容の態様のより広範な趣旨及び範囲から逸脱することなく様々な修正及び変更が可能である。発明内容のこのような実施形態は、本明細書では、単に便宜のために、尚且つもし実際に2つ以上の発明又は発明概念が開示されているならばそのうちのどの1つの発明又は発明概念にも本出願の範囲を自発的に制限することなく、個別に又はまとめて「発明」という用語で称することができる。本明細書で例示された実施形態は、開示された教示内容を当業者が実施することを可能にするのに足る詳細さで説明されている。そこから、その他の実施形態を使用及び導出可能であり、したがって、本開示内容の範囲から逸脱することなく構造的な及び論理的な置き換え及び変更が可能である。したがって、発明の詳細な説明は、限定的な意味としては捉えられず、様々な実施形態の範囲は、特許請求の範囲によって権限を付与された全範囲の均等物とともに、特許請求の範囲によってのみ定められる。
更に、本明細書で1つの事例として説明された構造要素又は動作について、複数の事例が提供可能である。その他の機能配分も想定される。このようなその他の配分は、本発明内容の様々な実施形態の範囲に含めることができる。通例、代表的構成において個別のリソースとして提示された構造及び機能は、構造又はリソースの組み合わせとして実装可能である。同様に、1つのリソースとして提示された構造及び機能は、個別のリソースとして実装可能である。
また、半導体産業と連携している多くの産業が、本明細書で説明されたシステム及び技術を活用できるだろう。例えば、データストレージ産業における薄膜ヘッド(TFH)プロセス、フラットパネルディスプレイ産業におけるアクティブマトリックス型液晶ディスプレイ(AMLCD)、又はマイクロエレクトロメカニカル(MEM)産業が、説明されたシステム及び技術を容易に活用できるだろう。「半導体」という用語は、したがって、上記の及び関連の産業を含むものとして認識される。これらの及びその他のヴァリエーション、変更、追加、及び改善は、特許請求の範囲によって表された本発明の範囲に入る。したがって、明細書及び図面は、限定的な意味ではなく例示と見なされる。

Claims (14)

  1. 基板生産ツールの空気流管理システムであって、
    前記基板生産ツールをファンフィルタユニットにつなぐためのハウジングであって、前記ファンフィルタユニットは、前記ハウジングにろ過空気を提供する、ハウジングと、
    前記基板生産ツールを減圧排気メカニズムにつなぐための設備結合部と、
    前記ハウジングの下方につながれ、前記設備結合部との間で空気流を連通させる基板移送セクションと、
    1つ又は2つ以上の基板移送スロットによって前記基板移送セクションにつながれた基板処理エリアと、
    前記基板移送セクション及び前記基板処理エリアを実質的に内包したチャンバであって、前記ろ過空気を受け取るために前記ハウジングにつながれるとともに、超過気流の排出を提供するために前記設備結合部につながれ、前記基板処理エリア内を前記基板移送セクションと比べて低い圧力に維持するように構成されたチャンバと、
    を備えるシステム。
  2. 請求項1に記載のシステムであって、
    前記1つ又は2つ以上の基板移送スロットは、前記基板移送セクションと比べて低い前記基板処理エリア内の圧力を可能にするように配置される、システム。
  3. 請求項1に記載のシステムであって、
    前記チャンバは、更に、前記基板移送セクション内を前記設備結合部と比べて高い圧力に維持するように構成される、システム。
  4. 請求項1に記載のシステムであって、
    前記チャンバは、更に、前記基板処理エリア内を前記設備結合部と比べて高い圧力に維持するように構成される、システム。
  5. 請求項1に記載のシステムであって、
    前記チャンバは、更に、複数の基板を基板収納から受け取って前記チャンバに入れる及び前記チャンバから取り出すための基板ポートを含み、前記基板ポートは、前記基板収納と比べて低い圧力に維持される、システム。
  6. 請求項1に記載のシステムであって、
    前記基板処理エリアは、化学処理セクションを含む、システム。
  7. 請求項6に記載のシステムであって、
    前記基板処理エリア内を前記基板移送セクションと比べて低い圧力に維持するように構成された前記チャンバは、前記化学処理セクション内の化学物質の蒸気を前記基板移送セクションに達しないように実質的に閉じ込める、システム。
  8. 請求項1に記載のシステムであって、更に、
    前記基板移送セクション内に実質的に内包された線形基板横移動メカニズムを備え、前記線形基板横移動メカニズムは、その上に複数の線形基板キャリアを搭載されており、前記複数の線形基板キャリアの各々は、互いに異なる面内にある状態で、互いに実質的に平行な面内で基板を搬送するように構成される、システム。
  9. ウエハ処理ツールの空気流管理システムであって、
    前記ウエハ処理ツールにろ過空気を提供するためのファンフィルタユニットと、
    前記ウエハ処理ツールをウエハ製造設備の減圧排気メカニズムにつなぐための設備結合部と、
    前記ファンフィルタユニットの下方につながれ、前記設備結合部との間で空気流を連通させるウエハ移送セクションと、
    化学処理セクションを有し、1つ又は2つ以上のウエハ搬送スロットによって前記ウエハ移送セクションにつながれたウエハ処理エリアと、
    前記ウエハ移送セクション及び前記ウエハ処理エリアを実質的に内包した処理チャンバであって、前記ろ過空気を受け取るために前記ファンフィルタユニットにつながれるとともに、超過気流の排出を提供するために前記設備結合部につながれ、前記ウエハ処理エリア内を前記ウエハ移送セクションと比べて低い圧力に維持するように構成される処理チャンバと、
    を備えるシステム。
  10. 請求項9に記載のシステムであって、
    前記ウエハ処理エリア内を前記ウエハ移送セクションと比べて低い圧力に維持するように構成された前記チャンバは、前記化学処理セクション内の化学物質の蒸気を前記ウエハ移送セクションに達しないように実質的に閉じ込める、システム。
  11. 請求項9に記載のシステムであって、
    前記1つ又は2つ以上のウエハ搬送スロットは、前記ウエハ移送セクションと比べて低い前記ウエハ処理エリア内の圧力を可能にするように配置される、システム。
  12. 請求項9に記載のシステムであって、更に、
    前記ウエハ移送セクション内に実質的に内包された線形ウエハ横移動メカニズムを備え、前記線形ウエハ横移動メカニズムは、その上に複数の線形ウエハキャリアを搭載されており、前記複数の線形ウエハキャリアの各々は、互いに異なる面内にある状態で、互いに実質的に平行な面内でウエハを搬送するように構成される、システム。
  13. 基板生産ツールにおける空気流管理システムを提供する方法であって、
    前記基板生産ツールにろ過空気を提供するファンフィルタユニットに前記基板生産ツールをつなぐための第1のメカニズムを提供することと、
    前記基板生産ツール内の超過気流の排出を提供する減圧排気メカニズムに前記基板生産ツールをつなぐための第2のメカニズムを提供することと、
    前記基板生産ツールの基板処理エリアを前記基板生産ツールの基板移送セクションの圧力よりも低い圧力に維持することと、
    前記基板処理エリアを前記減圧排気メカニズムの圧力よりも高い圧力に維持することと、
    前記基板移送セクションを前記減圧排気メカニズムの圧力よりも高い圧力に維持することと、
    前記基板移送セクションと比べて低い前記基板処理エリア内の圧力を可能にするために、前記基板処理エリアと前記基板移送セクションとの間に1つ又は2つ以上の基板移送スロットを提供することと、
    を備える方法。
  14. 請求項13に記載の方法であって、更に、
    前記基板移送セクションと前記基板処理エリアとの間における粒子の移送を低減させるために、前記基板移送セクション及び前記基板処理エリアを互いに実質的に水平に位置するように配置することを備える方法。
JP2013501433A 2010-03-24 2011-03-23 処理ツールにおける粒子数減少のための空気流管理システム及び空気流管理システムを提供する方法 Active JP5734409B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/730,868 US8893642B2 (en) 2010-03-24 2010-03-24 Airflow management for low particulate count in a process tool
US12/730,868 2010-03-24
PCT/US2011/029623 WO2011119729A2 (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool

Publications (2)

Publication Number Publication Date
JP2013526009A JP2013526009A (ja) 2013-06-20
JP5734409B2 true JP5734409B2 (ja) 2015-06-17

Family

ID=44654981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013501433A Active JP5734409B2 (ja) 2010-03-24 2011-03-23 処理ツールにおける粒子数減少のための空気流管理システム及び空気流管理システムを提供する方法

Country Status (7)

Country Link
US (2) US8893642B2 (ja)
JP (1) JP5734409B2 (ja)
KR (1) KR20130010903A (ja)
CN (1) CN102906855B (ja)
SG (1) SG184199A1 (ja)
TW (1) TWI509724B (ja)
WO (1) WO2011119729A2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2010093752A1 (en) * 2009-02-11 2010-08-19 Dow Agrosciences Llc Pesticidal compositions
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US9958424B2 (en) 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
CN112892093B (zh) * 2021-01-25 2022-05-10 广东钜宏科技股份有限公司 一种风量均匀的ffu装置

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0531472A (ja) * 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) * 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997035690A1 (fr) 1996-03-22 1997-10-02 Komatsu Ltd. Robot de manutention
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
KR20010023014A (ko) 1997-08-28 2001-03-26 씨브이씨 프로덕츠 인코포레이티드 다중스테이션 장비용 웨이퍼 핸들러
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
JP4404481B2 (ja) 1998-02-18 2010-01-27 アプライド マテリアルズ インコーポレイテッド 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
JP3212951B2 (ja) 1998-10-22 2001-09-25 兼房株式会社 分割切削型チップソー
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
ATE389237T1 (de) 1998-12-02 2008-03-15 Newport Corp Armgreiforgan für probehalteroboter
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) * 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
JP4290454B2 (ja) 2003-03-28 2009-07-08 三井化学株式会社 ガス拡散電極の製造方法、電解槽及び電解方法
JP3680083B2 (ja) 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
US7934513B2 (en) 2003-10-08 2011-05-03 Semes Co., Ltd. Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
JP2005131772A (ja) * 2003-10-31 2005-05-26 Ebara Corp ポリッシング装置
US20080003171A1 (en) 2004-04-20 2008-01-03 Smith William L Microbial Control Using Hypochlorous Acid Vapor
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR20060066415A (ko) * 2004-12-13 2006-06-16 삼성전자주식회사 기판 이송 장치
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070218197A1 (en) 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
KR100814238B1 (ko) 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) * 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US7644757B2 (en) 2007-07-02 2010-01-12 Stinger Wellhand Protection, Inc. Fixed-point packoff element with primary seal test capability
CN101801817B (zh) 2007-07-17 2015-07-22 布鲁克斯自动化公司 具备集成到室壁上的电动机的基片加工装置
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
JP4251580B1 (ja) * 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool

Also Published As

Publication number Publication date
US20150040757A1 (en) 2015-02-12
WO2011119729A2 (en) 2011-09-29
TW201207981A (en) 2012-02-16
JP2013526009A (ja) 2013-06-20
US9321000B2 (en) 2016-04-26
CN102906855B (zh) 2015-09-02
SG184199A1 (en) 2012-10-30
US20110232771A1 (en) 2011-09-29
CN102906855A (zh) 2013-01-30
WO2011119729A3 (en) 2012-01-19
US8893642B2 (en) 2014-11-25
TWI509724B (zh) 2015-11-21
KR20130010903A (ko) 2013-01-29

Similar Documents

Publication Publication Date Title
JP6005624B2 (ja) 基板に対する粒子汚染を削減するためのシステム
JP5734409B2 (ja) 処理ツールにおける粒子数減少のための空気流管理システム及び空気流管理システムを提供する方法
TWI670782B (zh) 基板液處理裝置
US10892172B2 (en) Removal of process effluents
JP5673480B2 (ja) 基板処理装置
TW201626494A (zh) 用以移動晶圓之設備前端模組及方法
JP6061484B2 (ja) 基板洗浄装置およびそれを備えた基板処理装置
JP6001896B2 (ja) 基板洗浄装置およびそれを備えた基板処理装置
TW201631649A (zh) 基板液處理裝置、排氣切換單元及基板液處理方法
JP2020505783A (ja) サブナノメートルレベルの光ベースの基板洗浄機構
JP6786732B2 (ja) サブナノメートルレベルの基板洗浄機構
JP2006005072A (ja) 基板搬送保管容器及びその使用方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140320

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150414

R150 Certificate of patent or registration of utility model

Ref document number: 5734409

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250