KR20130010903A - 프로세스 도구에서의 낮은 미립자 수를 위한 공기흐름 관리 - Google Patents

프로세스 도구에서의 낮은 미립자 수를 위한 공기흐름 관리 Download PDF

Info

Publication number
KR20130010903A
KR20130010903A KR20127027648A KR20127027648A KR20130010903A KR 20130010903 A KR20130010903 A KR 20130010903A KR 20127027648 A KR20127027648 A KR 20127027648A KR 20127027648 A KR20127027648 A KR 20127027648A KR 20130010903 A KR20130010903 A KR 20130010903A
Authority
KR
South Korea
Prior art keywords
substrate
wafer
transfer section
chamber
pressure
Prior art date
Application number
KR20127027648A
Other languages
English (en)
Inventor
에릭 에이치 렌즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130010903A publication Critical patent/KR20130010903A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/42Auxiliary equipment or operation thereof
    • B01D46/44Auxiliary equipment or operation thereof controlling filtration
    • B01D46/446Auxiliary equipment or operation thereof controlling filtration by pressure measuring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86292System with plural openings, one a gas vent or access opening
    • Y10T137/863Access and outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Abstract

여기에 설명되는 다양한 예시적인 실시형태들에서, 기판 생산 도구에 공기흐름 관리 시스템을 제공하기 위한 시스템 및 관련 방법은, 기판 생산 도구를 팬 필터 유닛에 연결하여 필터링된 공기를 하우징에 제공하는 하우징, 기판 생산 도구를 감압 배출 메커니즘에 연결시키는 설비 접속부, 하우징 하측에 연결되고 설비 접속부와 공기흐름이 통하는 기판 이송 섹션, 및 기판 이송 섹션에 하나 이상의 기판 수송 슬롯들에 의해 연결된 기판 프로세스 영역을 포함한다. 기판 이송 섹션 및 기판 프로세스 영역을 실질적으로 포함하는 챔버는 하우징에 연결되어 필터링된 공기를 수용하고, 설비 접속부에 연결되어 초과 가스 흐름에 대한 배출을 제공한다. 챔버는 기판 프로세스 영역에서 기판 이송 섹션에 비해 낮은 압력을 유지한다.

Description

프로세스 도구에서의 낮은 미립자 수를 위한 공기흐름 관리{AIRFLOW MANAGEMENT FOR LOW PARTICULATE COUNT IN A PROCESS TOOL}
본 출원은 대체로 반도체 프로세싱 분야에 관한 것이고, 특정 예시적인 실시형태에서, 프로세스 챔버 내의 미립자 수를 제어하는 시스템 및 방법에 관한 것이다.
반도체 디바이스들의 제조에서, 프로세스 챔버들은, 예를 들어, 인터페이싱되는 챔버들 간에 웨이퍼들 또는 기판들의 이송을 허용하도록 자주 인터페이싱된다. 이 이송은 웨이퍼들을, 예를 들어, 인터페이싱되는 챔버들의 인접한 벽들에 제공되는 슬롯들 또는 포트들을 통해 이동시키는 이송 모듈들을 통해 통상 수행된다. 이송 모듈들은 일반적으로 반도체 에칭 시스템들, 재료 퇴적 시스템들, 및 평판 디스플레이 에칭 시스템들을 포함할 수도 있는 다양한 웨이퍼 프로세싱 모듈들 (PM들) 에 연계하여 사용된다.
반도체 디바이스 기하구조들 (즉, 집적회로 설계 규칙들) 은 이러한 디바이스들이 수십년 전에 처음 소개되었던 이래 사이즈가 급격하게 감소하여 왔다. 프로세스 챔버들에서 형성된 집적회로들 (IC들) 은 단일 집적회로 칩에 맞는 디바이스들의 수가 2년마다 2배로 증가함을 의미하는 "무어의 법칙"을 일반적으로 따른다. 현대 IC 제작 설비들 ("팹들") 은 일상적으로 65 nm (0.065 ㎛) 이하의 최소 배선폭 (feature size) 의 디바이스들을 생산한다. 미래의 팹들은 더욱 작은 최소 배선폭을 갖는 디바이스들을 곧 생산할 것이다. 감소된 최소 배선폭에 상응하여 단일 30 nm 입자라도 주어진 IC에 대한 치명적 결함 (killer defect) 이 될 수 있음에 따라 오염 및 입자 예산들 (budgets) 이 감소되었다.
아마도 더 중요한 것은, 수율 및 단가 기반 관점에서, 제작 프로세스에서 사용되는 장비의 유형들 (예컨대, 프로세스 도구들) 은 기본 기술 드라이버가 되고 있다. 제작 프로세스는 효과적이어야 하지만, 또한 빠르고 총 입자 또는 오염 예산에 추가되지 않아야 한다. 많은 애플리케이션들에서 300 mm 웨이퍼들의 현재의 생산들에 대한 현대의 스루풋 요구는 시간 당 360개 이상의 웨이퍼들이다. 현재, 시스템들은, 웨이퍼 캐리어가 프로세스 도구의 시작 지점으로 반환되는 동안의 비 생산적 기간을 필요로 하는 단일 캐리어 선형 웨이퍼 모션만을 이용한다. 따라서, 웨이퍼 핸들링은 느리다. 스루풋을 증가시키기 위한 제안된 해법들은 복수의 프로세스 도구들을 병렬로 연결하는 것에 집중하고 있다. 이러한 해법들이 웨이퍼 스루풋을 증가시킬 수도 있지만, 도구 풋프린트의 비용을 증가시켜, 장비 비용을 증가시켰으며, 신뢰도를 감소시켰고, 많은 경우들에 있어서, 도구들 내의 웨이퍼 수송 메커니즘으로부터의 입자 생성을 증가시켰다. 따라서, 장비 신뢰도, 스루풋, 및 효율에 특별한 중점을 가지는 반도체 프로세싱 분야에서 개선이 필요하다.
첨부의 도면들 중 다양한 것들은 본 발명의 예시적인 실시형태들을 도시할 뿐이고 그의 범위를 제한하는 것으로서 간주될 수 없다.
도 1a는 적어도 여기에 설명되는 본 발명의 주제의 양태들을 통합하는, 장비 프런트 엔드 모듈 (equipment front-end module; EFEM), 프로세스 모듈, 및 전자 인클로저 (electronics enclosure) 를 포함하는 예시적인 기판 프로세싱 도구의 전개 사시도이다;
도 1b는 프로세스 챔버를 구비한 도 1a의 프로세스 모듈의 사시도이다;
도 2는 도 1b의 프로세스 챔버 내에서 사용되는 예시적인 클록-아암 기판 캐리어 메커니즘 (clock-arm substrate carrier mechanism) 의 사시도이다;
도 3은 도 2의 클록-아암 기판 캐리어 메커니즘에 연계하여 도 1b의 프로세스 챔버 내에서 사용될 예시적인 기판 트래버서 메커니즘 (substrate traverser mechanism) 의 사시도이다;
도 4는 예시적인 실시형태에서 도 3의 기판 트래버서 메커니즘에 연계하여 사용되는 도 2의 클록-아암 기판 캐리어 메커니즘의 평면도이다;
도 5a는 도 4의 예시적인 클록-아암 기판 캐리어 메커니즘 및 기판 트래버서 메커니즘에 사용되고 도 1b의 프로세스 모듈 내에 위치된 예시적인 프로세스 챔버 배출 및 드레인 위치들을 보여주는 프로세스 챔버의 하부 부분의 사시도이다;
도 5b는 도 1b의 프로세스 모듈 내에서 사용되는 예시적인 프로세스 챔버 배출 및 드레인 시스템을 보여주는 사시도이다;
도 6은 도 1a의 EFEM 및 프로세스 모듈에 입력하고 그로부터 출력하는 체적 유량들 (volumetric flow rates) 을 보여주는 예시적인 공기 핸들링 개략도이다;
도 7a는 전산 유체 역학 (CFD) 모델링에서 사용되는 도 1b의 프로세스 챔버의 입체 (solid) 구성요소들의 예시적인 사시도 및 예시적인 평면도이다;
도 7b는 도 7a의 입체 구성요소들을 사용하는 전산 유체 역학 모델링에서 사용되는 도 1b의 프로세스 챔버의 유체 도메인의 사시도 및 측면도 (양쪽 도면은 대칭선의 한쪽 측면에 대한 것임) 이다;
도 7c는 도 1b의 프로세스 챔버 내의 일반 공기 흐름 모션의 사시도, 평면도, 및 측면도 (3개의 도면 전부는 대칭선의 한쪽 측면에 대한 것임) 이다;
도 7d는 도 1b의 프로세스 챔버 내의 음의 압력의 위치들을 검증하기 위한 사시도 및 평면도 (양쪽 도면은 대칭선의 한쪽 측면에 대한 것임) 에 대한 컴퓨팅된 압력 필드이다;
도 7e는 도 1b의 프로세스 챔버 내의 고효율 미립자 공기 필터 (HEPA) 유입구로부터의 일반 공기 흐름 모션의 (대칭선의 한쪽 측면에 대한) 사시도에 대한 컴퓨팅된 속도 흐름 필드이다;
도 7f는 도 5a 및 도 5b에 나타낸 바와 같은 도 1b의 프로세스 챔버 내의 챔버 유입구에서의 후드 슬릿으로부터 유출구 위치들로의 일반 공기 흐름 모션의 (대칭선의 한쪽 측면에 대한) 사시도에 대한 컴퓨팅된 속도 흐름 필드이다;
도 7g는 도 1b의 프로세스 챔버 내의 챔버 이온화 바 (chamber ionizer bar) 주위의 HEPA 유입구 및 후드 슬릿으로부터의 일반 공기 흐름 모션의 (대칭선의 한쪽 측면에 대한) 측면도에 대한 컴퓨팅된 속도 흐름 필드이며; 그리고
도 7h는 도 1b의 프로세스 챔버 내의 HEPA 유입구 및 후드 슬릿으로부터의 압력 구배들의 (대칭선의 한쪽 측면에 대한) 측면도에 대한 컴퓨팅된 압력 필드이다.
뒤따르는 설명은 여기에 설명되는 본 발명의 주제의 다양한 양태들을 구현하는 예시적인 시스템들, 방법들, 및 기법들을 포함한다. 다음의 설명에서, 설명의 목적을 위해, 다수의 특정 세부사항들이 본 발명의 주제의 다양한 실시형태들의 이해를 제공하기 위해 언급된다. 그러나, 당업자들에게는 본 발명의 주제의 실시형태들이 이들 특정 세부사항들 없이 실용화될 수도 있다는 것이 명백할 것이다. 게다가, 주지의 동작들, 구조들, 및 기법들은 상세히 나타내지 않았다.
여기서 사용되는 바와 같이, 용어 "또는"은 포괄적인 또는 배타적인 의미로 해석될 수도 있다. 마찬가지로, 용어 "예시적인"은 단지 일 예의 무엇 또는 전형 (exemplar) 을 의미하는 것으로 해석되고 반드시 목표를 달성하는 바람직한 또는 이상적인 수단일 필요는 없다. 덧붙여, 아래에서 논의되는 다양한 예시적인 실시형태들이 기판 수송 메커니즘들 및 관련된 오염-관련 감소 기법들에 초점을 맞추고 있지만, 실시형태들은 단지 개시의 명료함을 위해 주어진다. 따라서, 임의의 유형의 기판 수송 메커니즘이 여기에 설명되는 시스템의 다양한 실시형태들을 채용할 수 있고 본 발명의 주제의 범위 내에 있다고 간주된다.
더구나, 여기에 사용되는 바와 같이, 용어 "기판"은 단지, 반도체 및 동종 산업들에서 사용되는 다양한 기판 유형들 중 임의의 것을 지칭하는 편리한 용어로서 선택된다. 기판 유형들은 그러므로 실리콘 웨이퍼들, 화합물 웨이퍼들, 박막 헤드 어셈블리들, 폴리에틸렌-테레프탈레이트 (PET) 막들, 포토마스크 블랭크들 및 레티클들, 또는 이 기술에서 알려진 수많은 다른 유형들의 기판들을 포함할 수도 있다.
여기에 상세히 설명되는 다양한 예시적인 실시형태들에서, 예를 들어, 반도체 업계에서 사용되는 웨이퍼-세정 도구와 같은 프로세스 도구로 수송되거나 또는 처리되는 기판들과의 접촉으로부터의 미립자 오염을 감소시키는 필터링된 공기를 제공하는 방법 및 시스템이 개시된다. 방법 및 시스템은 추가로 기판 통과 설계에서 화학물질 및 증기 밀폐 (containment) 를 유지하면서 도구 내의 프로세스 챔버의 화학적 영역의 요구 변화들을 허용한다. 필터링 유닛은 기판들 상측으로부터 공기를 제공한다. 필터링 유닛은 유지보수를 위해 이동될 수 있고, 진동 이송을 감소시키면서 유닛을 쉽게 이동시키는 갭을 기판 수송 및 프로세싱 영역 상측에 갖고 있다. 예시적인 실시형태에서, 공기는 화학 섹션과 기판 이송 섹션 사이의 압력차를 제공하도록 설계된 슬롯을 통해 프로세스 챔버의 화학 섹션에 들어간다. 기판들은 챔버의 낮은 구역으로부터의 공기흐름을 최소화하는 슬롯들을 통해 화학 존을 빠져나간다. 화학적 구역 내의 우세한 공기흐름은 챔버의 하부 표면들로부터 입자들이 들어올려지는 것을 감소시키는 상부 슬롯을 통과한다. 기판 수송 메커니즘이 챔버의 화학적 영역을 통과하는 경우, 압력차가 유지되어, 비-화학적 영역으로부터의 화학 증기들을 유지하면서 화학적 영역으로부터 멀어지도록 입자들을 끌어당기는 2 개의 슬릿들을 기판들이 통과한다. 따라서 여기에 설명되는 예시적인 실시형태들 중 다양한 실시형태들은 기판들이 챔버 내의 다양한 구역들을 분리하는 슬릿들을 통과하는 동안 기판들의 표면들 상의 공기 속도들이 낮아지는 것을 허용한다. 예시적인 실시형태들 중 다양한 실시형태들은 추가로 화학 증기들이 화학적 구역들에 남겨지는 것을 감소시키거나 또는 방지하고, 기판들에 도달하는 높은 입자 구역들로부터의 공기의 일소 (sweeping) 를 제공한다.
또 다른 예시적인 실시형태에서, 설계된 압력차에 의해 생성된 공기흐름은 노출된 선형 벨트들을 갖는 다수의 선형 기판 수송기들로부터 입자들이 이동하는 것을 감소시키거나 또는 방지할 수 있다. 여기에 설명되는 바와 같이, 선형 벨트 드라이브 슬라이드들에 의해 구동되는 다수의 기판 캐리어들은 기계적 부품들의 마찰 및 이동으로 인해 입자 발생기들이 되는 경향이 있다. 슬라이드들 또는 벨트들로부터 발생된 입자들은 이상적으로는 기판들에 올라타는 것이 방지된다. 입자 종단 속도들 (particle terminal velocities) 에 대한 지배적 수학식들을 이용하여, 공기흐름 요건들은, 기판들이 횡단하여 그들을 선형 슬라이드들에 접속시키는 수평 슬롯에 걸쳐 결정되었다. 요구된 공기흐름 속도는, 관심있는 입자 사이즈 범위들의 종단 속도보다 빠르게 흐르도록 약 50 ㎛ 미만의 입자 사이즈들에 대해 결정되었다. 50 ㎛보다 큰 입자들은 수평 슬롯을 횡단할 수 없는 충분히 높은 레이트로 떨어질 것이다. 한쪽 단부에 있는 슬릿에 대한 드로잉이 있는 경우에도 슬롯 흐름을 균일하게 하도록 배플링 (baffling) 이 설계되었다.
따라서, 예시적인 실시형태에서, 기판 생산 도구에 공기흐름 관리를 제공하기 위한 시스템이 개시된다. 이 시스템은, 필터링된 공기를 하우징에 제공하는 팬 필터 유닛에 기판 생산 도구를 연결하는 하우징, 기판 생산 도구를 감압 배출 메커니즘에 연결시키는 설비 접속부, 하우징 하측에 연결되고 설비 접속부와 공기흐름이 통하는 기판 이송 섹션, 및 기판 이송 섹션에 하나 이상의 기판 수송 슬롯들에 의해 연결된 기판 프로세스 영역을 포함한다. 기판 이송 섹션 및 기판 프로세스 영역을 실질적으로 포함하는 챔버는 하우징에 연결되어 필터링된 공기를 수용하고, 설비 접속부에 연결되어 초과 가스 흐름에 대한 배출을 제공한다. 챔버는 기판 프로세스 영역에서 기판 이송 섹션에 비해 낮은 압력을 유지한다.
다른 예시적인 실시형태에서, 웨이퍼 프로세스 도구에 공기흐름 관리를 제공하기 위한 시스템이 개시된다. 이 시스템은, 필터링된 공기를 웨이퍼 프로세스 도구에 제공하는 팬 필터 유닛, 웨이퍼 프로세스 도구를 웨이퍼 제작 설비의 감압 배출 메커니즘과 연결시키는 설비 접속부, 팬 필터 유닛 하측에 연결되고 설비 접속부와 공기흐름이 통하는 웨이퍼 이송 섹션, 및 화학 프로세스 섹션을 갖는 웨이퍼 프로세스 영역을 포함한다. 웨이퍼 프로세스 영역은 웨이퍼 이송 섹션에 하나 이상의 웨이퍼 수송 슬롯들에 의해 연결된다. 웨이퍼 이송 섹션 및 웨이퍼 프로세스 영역을 실질적으로 포함하는 프로세스 챔버는 팬 필터 유닛에 연결되어 필터링된 공기를 수용하고, 설비 접속부에 연결되어 초과 가스 흐름에 대한 배출을 제공한다. 프로세스 챔버는 웨이퍼 프로세스 영역에서 웨이퍼 이송 섹션에 비해 낮은 압력을 유지한다.
다른 예시적인 실시형태에서, 기판 생산 도구에 공기흐름 관리 시스템을 제공하는 방법이 개시된다. 이 방법은, 기판 생산 도구를 팬 필터 유닛에 연결시켜 필터링된 공기를 기판 생산 도구에 제공하는 제 1 메커니즘을 제공하는 단계, 및 기판 생산 도구를 감압 배출 메커니즘에 연결시켜 기판 생산 도구 내의 초과 가스 흐름에 대한 배출을 제공하는 제 2 메커니즘을 제공하는 단계를 포함한다. 기판 생산 도구의 기판 프로세스 영역은 기판 생산 도구의 기판 이송 섹션의 압력보다 낮은 압력으로 유지되지만, 기판 프로세스 영역은 감압 매출 메커니즘의 압력보다 높은 압력으로 유지된다. 기판 이송 섹션은 감압 배출 메커니즘의 압력보다 높은 압력으로 유지된다.
도 1a를 참조하면, 기판들, 이를테면 반도체 웨이퍼들을 처리하는데 사용되는 장비의 조각들의 전개 사시도가 보이고 있다. 프로세싱 도구 (100) (일반적으로 프로세스 도구 또는 다른 기판 생산 도구라고 지칭됨) 는 장비 프런트 엔드 모듈 (EFEM) (110), 프로세스 모듈 (130), 및 전자 인클로저 (150) 를 구비하는 것으로 보이고 있다.
동작 시, EFEM (110), 프로세스 모듈 (130), 및 전자 인클로저 (150) 는 단일 유닛으로서 일체화된다. 프로세스 모듈 (130) 은 프로세스 챔버 (131) (또는 예를 들어, 인시추 계측 챔버 (in-situ metrology chamber) 와 같이 기판들이 위치되는 다른 챔버 유형들) 를 구비한다. 프로세스 챔버는, 다양한 프로세스들이 기판들의 배치 (batch) 에 대해 수행되는 기판 이송 섹션 및 기판 프로세스 영역을 구비할 수도 있으며, 양쪽 모두가 아래에서 상세히 정의된다. 프로세스들은, 예를 들어, 반도체 및 관련된 기술 분야들에서 독립적으로 알려진 기판 세정 및 습식-에칭 (예컨대, 화학적 에칭) 단계들의 다양한 유형들을 포함할 수도 있다. 덧붙여, 프로세스 모듈 (130) 은 일반적으로 프로세스 모듈 (130) 및 프로세스 챔버 (131) 내에서 기판의 임의의 미립자, 유기물, 또는 다른 오염물을 감소시키도록 밀봉된다. 게다가, 인클로저 (미도시) 는 장비 오퍼레이터와 프로세스 모듈 (130) 내의 이동 메커니즘들 사이의 유해한 상호작용들의 위험을 최소화하며, 이에 의해 오퍼레이터의 안정성을 증가시킨다. 동작 전력이 EFEM (110) 및 프로세스 모듈 (130) 에 전자 인클로저 (150) 에 의해 공급된다.
EFEM (110) 은 다수의 기판 로드 스테이션들 (111), 제 1 오퍼레이터 제어 인터페이스 (115A), 및 제 2 오퍼레이터 제어 인터페이스 (115B) 를 포함하는 것으로 보이고 있다. 이들 제어 인터페이스들 중 하나로부터, 오퍼레이터는, 예를 들어, 기판들의 특정 배치에 대해 프로세스 레시피들을 입력 및 실행할 수도 있다. EFEM (110) 은 또한 기판 로드 스테이션들 (111) 중 하나의 기판 로드 스테이션에 배치된 프론트 개구부 단일화 포드 (front opening unified pod; FOUP) (113) 를 구비하는 것으로 보이고 있다. FOUP (113) 는 반도체 웨이퍼들 (예컨대, 일반적으로 실리콘 웨이퍼들 (Si) 이지만 또한 게르마늄 (Ge) 과 같은 기본적인 반도체 재료들, 또는 갈륨-비소 (GaAs) 또는 인듐 비소 (InAs) 와 같은 화합물 반도체 재료들로 형성된 다양한 다른 웨이퍼 유형들을 포함할 수도 있음) 을 홀딩하도록 설계된 특정 유형의 플라스틱 인클로저이다. FOUP (113) 는 웨이퍼들 (미도시) 을 제어식 환경에서 보안성 있고 안전하게 홀딩한다. 도 1a에서 명시적으로 보이지는 않았지만, 당업자는 FOUP가 기판 로드 스테이션들 (111) 각각에 대해 동시에 존재할 수도 있다는 것을 쉽게 이해할 것이다. 하나 이상의 로봇들 (미도시) 이 각각의 FOUP와 연관될 수도 있다.
일단 FOUP (113) 가 기판 로드 스테이션들 (111) 중 하나의 기판 로드 스테이션에 배치되면, EFEM (110) 내의 로봇 (미도시) 은 FOUP (113) 내에 포함된 웨이퍼들에 직접 액세스할 수도 있다. EFEM (110) 은 따라서 FOUP (113) 로부터 프로세스 챔버 (131) 내로, 예를 들어, 2-블레이드형 (two-bladed) 또는 4 블레이드 로봇 (미도시지만 그 분야에서 독립적으로 알려짐) 을 통해 기판들을 오퍼레이터가 로딩 및 언로딩하도록 허용한다. 특정 로봇 유형으로 제한되지 않지만, 채용될 수 있는 하나의 로봇은, 예를 들어, 미합중국, 미시간 주, 윅솜의 Kawasaki (USA), Inc.에 의해 제조된 모델 FC06N이다. 특정 예시적인 실시형태에서, 로봇은 인접한 블레이드들 사이에 대략 10 mm 스페이싱을 갖는 4개의 3.8 mm 블레이드들을 갖는 접이식 엔드-이펙터 (collapsive end-effector) 를 포함할 수도 있다. 10 mm 스페이싱은 전형적인 FOUP에서의 웨이퍼-대-웨이퍼 스페이싱에 부합된다. 프로세스 챔버 (131) 내에서 발생하는 다양한 수송 프로세스들의 세부사항들은 도 4a, 도 4b, 및 도 5를 참조하여 아래에서 더 상세히 설명된다.
이제 도 1b 및 병행하여 도 1a를 참조하면, 기판들 (미도시) 은 로봇들에 의해 FOUP (113) 로부터 클록-아암 기판 캐리어 메커니즘 (도 1a 또는 도 1b에서 명시적으로 보이진 않았지만 도 2를 참조하여 아래에서 상세히 설명됨) 상에 위치된 다수의 기판 캐리어들 (135) (즉, 로터리-탑재형 기판 캐리어들) 중 하나의 기판 캐리어로 수송된다. 기판은 기판 수송 슬롯 (133) 을 통해 프로세스 챔버 (131) 내외로 로딩되거나 언로딩된다.
팬 필터 유닛 (FFU) (137) 내에 배치된 고효율 미립자 공기 (high-efficiency particulate air; HEPA) 필터는 프로세스 챔버 (131) 내에 실질적으로 청정한 공기를 제공한다. FFU (137) 는 프로세스 챔버 (131) 상측에 위치되어 챔버 내의 공기흐름 및 특정한 압력 구배들을 제공하여 기판들 상의 및 주위의 미립자 오염을 감소시킨다. 공기흐름은 기판 상측에 작은 입자들이 통과할 수 없는 경계 층을 생성함으로써 미립자 오염을 부분적으로 감소시킨다. 그러면 크고 작은 입자들 양쪽 모두는 아래에서 설명되는 바와 같이, 프로세스 챔버 (131) 바깥으로 및 배출 시스템 내로 휩쓸려 간다. 개선된 화학물질 밀폐는 또한 생산된 압력 구배들 (아래에서 더 상세히 설명됨) 의 사용을 통해 달성된다.
FFU (137) 가 여기에서는 HEPA 필터를 채용하는 것으로서 설명되고 있지만, 예를 들어, ULPA 필터에 걸친 더 높은 압력-강하를 처리하는데 필요한 부가된 팬 용량을 갖는 다른 필터 유형들 (예컨대, ULPA (ultra-low particulate air) 필터) 이 HEPA 필터를 쉽사리 대체할 수 있다는 것을 당업자는 인식할 것이다. FFU (137) 에 의해 생성된 공기흐름 및 압력 구배들의 산출은 도 6 내지 도 7h를 참조하여 아래에서 논의된다. 프로세스 챔버 (131) 는 또한 FFU (137) 의 장축에 평행하게 이어져 있는 이온화 바들 (ionizer bars; 139) 을 구비하는 것으로 보이고 있다. 이온화 바들 (139) 은 FFU (137) 로부터 기판들에 걸친 공기흐름에 의해 발생된 마찰에 의해 초래되는 프로세스 챔버 (131) 내의 기판들 상에 누적되는 정전 전하들을 감소시킨다. 전하를 갖는 기판은 반대로 대전된 입자들을 더 쉽사리 끌어당긴다. 당업자에게 알려진 바와 같이, 임의의 표면 (예컨대, 기판) 상의 전하들을 감소시키는 이온화기 (ionizer) 의 능력은 시간 의존적이다. 그러므로, 이온화 바들 (139) 은 기판들에 관련하여 위치될 수 있고 (이온화 바들 밑에 위치될 수 있고), 이 경우, 비교적 긴 체류 시간 (예컨대, 1 초 내지 5 초이지만 적어도 부분적으로는 국소화된 공기흐름에 의존함) 이 기판들 상의 의도된 정전 전하의 감소를 일으키는 것을 돕는다. 이온화 바들 (139) 은 프로세스 챔버 (131) 내의 다른 위치들에 위치될 수 있고, 유니폴러 (즉, 음이온들 또는 양이온들을 생성함) 또는 바이폴러 (즉, 균형잡힌 이온 발생기) 일 수도 있고, 다른 사이즈들 및 형상들로 될 수도 있으며, 프로세스 챔버 (131) 내에서 기판들 상측의 수많은 위치들에 예시될 (instantiated) 수도 있다. 특정 예시적인 실시형태에서, 이온화 바들 (139) 은 대략 64 인치 (약 1.63 m) 의 길이이다.
특정 예시적인 실시형태에서, FFU (137) 는 분 당 대략 90 피트 (fpm, 또는 분 당 약 27.4 m) 인 HEPA 필터의 유출구 측으로부터의 면 속도 (face velocity) 를 갖는 분 당 대략 910 입방 피트 (cfm, 또는 분 당 약 25.8 m3) 의 체적 유량을 갖는다. 다른 실시형태들에서, FFU (137) 는 분 당 대략 1300 입방 피트 (분 당 약 36.8 m3) 의 체적 유량을 갖는다. HEPA 필터는 0.3 ㎛에서 99.99995% 필터 효율을 갖는 테트라플루오로에틸렌 (TFE) 재료로 형성될 수 있다. 당업자는 위에서 설명된 ULPA 필터가 (0.12 ㎛에서 측정된 바와 같이) 훨씬 더 높은 효율을 가질 수 있다는 것을 인식할 것이다. FFU (137) 및 프로세스 챔버 (131) 는 55 nm 이하의 사이즈에서 5개 미만의 입자들이 기판 수송 고려사항들로 인해 추가되도록 설계된다.
도 1b를 계속 참조하면, 제 1 챔버 배출 파이프 (141) 및 제 2 챔버 배출 파이프 (143) 가 미립자들 및 유체들 (예컨대, 초과한 프로세스 가스들, 이를테면 FFU (137) 에 의해 생산된 공기, 및 초과한 프로세스 액체들) 을 프로세스 챔버 (131) 의 대향 면들로부터 크로스-튜브 어셈블리 (145) 내로 드로잉한다. 예시적인 실시형태에서, 챔버 배출 스택 (147) 은 제작 설비 내의 배출/드레인 시스템 (미도시) 내로 쉽게 드로잉되도록 하기 위해 미립자들 및 유체들이 크로스-튜브 어셈블리 (145) 에 들어가도록 하는 메이크업 공기흐름을 제공한다. 다른 예시적인 실시형태들에서, 챔버 배출 스택 (147) 은 공기 드로잉을 제공하기 위해 제작 설비 내의 배출 접속부에 옵션적으로 연결될 수도 있다. 배출 시스템에 대한 더 상세한 것은, 아래에서 도 5a 및 도 5b를 참조하여 주어진다.
도 2는 클록-아암 기판 캐리어 메커니즘 (200) 의 예시적인 실시형태를 보여준다. 클록-아암 기판 캐리어 메커니즘 (200) 은 로터리 아암들 (201) 의 단부 각각이 기판 캐리어들 (135) 중 연관된 기판 캐리어를 갖는 다수의 로터리 아암들 (201), 내부 트랙 섹션 (203), 외부 트랙 섹션 (205), 및 기판 리프터들 (207) 을 구비하는 것으로 보이고 있다. 로터리 아암들 (201) 각각은 독립적으로 구동될 수도 있어서, 로터리 아암들 (201) 중 나머지 로터리 아암들과 독립적으로 출발, 중지, 및 가속될 수도 있다. 덧붙여, 네 개의 로터리 아암들 (201) 만이 보이고 있지만, 클록-아암 기판 캐리어 메커니즘 (200) 은 임의의 수의 아암들을 핸들링하도록 적응될 수 있다. 아암들의 수는 외부 트랙 섹션 (205) 의 물리적 사이즈, 예를 들어, 지름 및 각각의 기판 캐리어들 (135) 의 물리적 사이즈에 적어도 부분적으로 의존할 것이다. 로터리 아암들 (201) 및 기판 캐리어들 (135) 은 주어진 기판 사이즈에 적응하는데 필요한 만큼 스케일링될 수도 있다. 예를 들어, 기판 캐리어들 (135) 은 300 mm 실리콘 웨이퍼들, 100 mm 갈륨 비소 (GaAs) 웨이퍼들, 또는 다음 세대의 450 mm 웨이퍼들을 수용하도록 설계될 수도 있다.
특정 예시적인 실시형태에서, 외부 트랙 섹션 (205) 은 로터리 아암들 (201) 의 중간점들에서부터 기판 캐리어들 (135) 의 중심까지의 30 인치 (대략 760 mm) 반경을 수용하도록 물리적으로 배치된다. 위에서 논의된 바와 같이, 외부 트랙 섹션 (205) 은 채용된 다수의 로터리 아암들 및 핸들링되는 기판들의 사이즈에 적절히 의존하는 사이즈로 될 수 있다.
기판 리프터들 (207) 은 예를 들어, 반도체 업계에서 일반적으로 알려지고 사용되는 임의의 일반 유형으로 될 수도 있다. 보인 바와 같이, 기판 리프터들 (207) 의 2 개의 예시들 (instantiations) 은 대략 180° 서로 이격되어 있다. 다른 실시형태들 (미도시) 에서, 더 많은 수의 기판 리프터들 (207) 이 사용될 수도 있다.
덧붙여, 기판 리프터들 (207) 중 하나 또는 양쪽은 클록-아암 기판 캐리어 메커니즘 (200) 을 통한 기판의 180° 회전을 정정하기 위해 180° 회전될 수도 있다. 그러한 발생된 회전은 클록-아암 캐리어와 선형 캐리어 사이에서 기판을 이동시킬 때 발생하는데, 아래에서 논의된다. 기판 리프터들 (207) 중 하나의 기판 리프터만이 180° 회전하는 경우, 180° 회전은 클록 캐리어로부터 선형 캐리어로의 기판의 이동으로 그리고 선형 캐리어로부터 클록 캐리어로의 기판의 이동으로 발생한다.
일반적인 동작 시, 일단 기판 캐리어들 (135) 중 특정 기판 캐리어가 기판 리프터들 (207) 중 하나의 기판 리프터 상에 위치되면, 외부 로봇 (미도시) 은 기판 캐리어 (예컨대, 웨이퍼 보트 또는 FOUP (113)) 로 또는 로부터의 웨이퍼를 기판 리프터들 (207) 중 하나의 기판 리프터 상에 배치시킬 수도 있다. 그러면 기판 리프터들 (207) 중 선택된 기판 리프터는 기판을 기판 캐리어들 (135) 중 특정 기판 캐리어 상에 내리고 리프터는 클록-아암 기판 캐리어 메커니즘 (200) 내에 포함된 로터리 아암들 (201) 중 임의의 로터리 아암 또는 임의의 다른 이동 메커니즘들과의 임의의 충돌을 피하기에 충분히 멀리 자신을 계속 낮춘다.
도 2를 계속 참조하면, 클록-아암 기판 캐리어 메커니즘 (200) 은 기판이 상부 화학물질-방출 헤드 (211) 및 하부 화학물질-방출 헤드 (213) 에 근접하여 통과할 때 화학물질들 (예컨대, 이를테면 세정 또는 에칭 화학물질들의 다양한 조합들) 을 분무하거나 또는 다르게는 제공하기 위해 위치해 있는 상부 화학물질-방출 헤드 (211) 및 하부 화학물질-방출 헤드 (213) 를 더 구비한다. 적어도 2개의 헤드들을 이용하면 기판을 뒤집을 필요 없이 단일 통과로 웨이퍼의 양쪽 모두에 화학물질들이 제공되도록 한다. 대안으로, 상부 화학물질-방출 헤드 (211) 및 하부 화학물질-방출 헤드 (213) 는 화학물질들을 기판의 양쪽에 동시에 제공하도록 배치될 수도 있다. 당업자에게 인식가능한 바와 같이, 임의의 수의 화학물질-방출 헤드들이 사용될 수도 있다.
특정 예시적인 실시형태에서, 상부 화학물질-방출 헤드 (211) 및 하부 화학물질-방출 헤드 (213) 는 각각이 클록-아암 기판 캐리어 메커니즘 (200) 의 내주 (inner periphery) 에서보다 외주 (outer periphery) 에서 더 넓은 단면 폭을 갖는 "파이-단면 (pie-section)" 형상으로 설계된다. 파이-단면 형상은 기판의 내부 부분에 비해 기판의 최외각 부분에서 더 높은 각속도를 수용한다. 따라서, 더 많은 화학물질들이, 예를 들어, 기판을 지향하는 증가된 수의 스프레이 노즐들을 통해 기판의 외부 부분에 전달되어, 기판의 각각의 면 전체에 걸쳐 균일한 화학물질 커버리지를 보장할 수도 있다.
여기에 설명되는 다양한 특징들의 결과로서, 클록-아암 기판 캐리어 메커니즘 (200) 은 연속 흐름 제조를 제공할 수 있고 연속하는 기판들 사이의 상당한 시간적 갭들 없이 프로세싱을 제공한다. 위에서 언급했듯이, 습식 화학물질 세정 또는 에칭은 다수의 다양한 단계들을 수반할 수 있다. 습식 화학반응 (wet chemistry) 을 시작하고 중지하는 것은 제어하기가 어렵고, 낭비적이고, 비효율적이다. 클록-아암 기판 캐리어 메커니즘 (200) 은 기판 캐리어들 (135) 각각을 완전 360° 호로 이동시킴으로써 기판들을 연속 모드에서 처리한다. 웨이퍼 세정 또는 프로세싱이 일어나지 않는 180° 리턴을 요구하는 선형 시스템들만을 제공하는 다양한 종래기술 시스템들과는 달리, 클록-아암 기판 캐리어 메커니즘 (200) 은 대향하는 측면들에 대한 병행 세정 프로세스들을 동시에 실행할 수도 있다. 결과적으로, 화학물질 제어는 공유되며, 이에 의해 제어 시스템 오버헤드 및 중복 회로를 감소시킬 수 있다. 이와 같이, 화학물질 절약이 현대의 선형 시스템들에서 300% 정도 (즉, 화학물질 사용이 4배 감소) 가 될 수 있다.
프로세스 챔버 (131) (도 1b 참조) 내에서, 적어도 2개의 병렬 프로세스들, 즉 화학물질 제어 및 기판 모션이 동시에 발생한다. 도 3을 참조하여 아래에서 더 상세히 설명되는 바와 같이, 기판 캐리어들 (135) 의 속도들 및 가속도들의 독립적인 제어는 종료 (exit) 단계 및 하나 이상의 기판들을 실질적으로 동시에 로딩 및 언로딩하는 것을 허용한다. 기판 캐리어들 (135) 의 독립적인 제어는 캐리어가 로딩되거나 또는 언로딩되면 프로세스 흐름을 만회하기 위해 캐리어가 가속되는 것을 추가로 허용하며, 이는 또한 아래에서 더 상세히 설명된다.
이제 도 3을 참조하면, 기판 트래버서 메커니즘 (300) 의 예시적인 실시형태는 한 쌍의 상부 트랙들 (301), 한 쌍의 하부 트랙들 (303), 한 쌍의 우측-탑재형 기판 캐리어들 (305), 및 한 쌍의 좌측-탑재형 기판 캐리어들 (307) 을 구비하는 것으로 보이고 있다. 기판 캐리어들은, 보인 바와 같이, 서로 평행한 상이한 평면들에서 이동가능할 뿐만 아니라 클록-아암 기판 캐리어 메커니즘 (200) 의 로터리 아암들 (201) 에 평행한 평면들에 있다. 이 캐리어들 각각은 또한 아래에서 기판들의 전반적인 이동 및 수송을 설명하는 것을 돕기 위해서만 반도체 기판 (311) 을 홀딩하는 것으로 보이고 있다. 기판 트래버서 메커니즘 (300) 이 기판 수송 슬롯 (133) 에 관련하여 위치된 곳의 표시가 또한 도 3에서 보이고 있다.
한 쌍의 우측-탑재형 기판 캐리어들 (305) 및 한 쌍의 좌측-탑재형 기판 캐리어들 (307) 의 각각은 모터 (309) 에 의해 선형 방식으로 독립적으로 구동된다. 이 모터는 다수의 모터 유형들로부터 선택될 수 있다. 예를 들어, 특정 예시적인 실시형태에서, 모터들 (309) 각각은 통합 인코더를 갖는 SM2315D 서보 모터 (미합중국, 캘리포니아 주, 산타 클라라 시, 패트릭 앙리 드라이브 3200의 Animatics Corporation에서 입수가능함) 와 같은 표준 NEMA 23 프레임 치수들일 수도 있다. 명시적으로 보이진 않았지만, 캐리어들은 선형 액추에이터 (예컨대, 선형 벨트 드라이브 시스템) 에 의해, 주어진 캐리어와 연관된 모터 (309) 에 의해 구동된다. 이러한 선형 액추에이터 시스템들은 이 기술분야에서 독립적으로 알려져 있다. 예를 들어, Festo? EGC-50 벨트 구동 선형 액추에이터 (독일연방공화국, 에스링겐 시, 루이터 82번가, FESTO KG 에 의해 제조) 는 기판 트래버서 메커니즘 (300) 을 위한 캐리어 드라이브 메커니즘으로서 채용될 수도 있다.
여기에 다양한 예시적인 실시형태들에서 설명된 바와 같이, 기판 트래버서 메커니즘 (300) 은 특정 수의 트랙들, 기판 캐리어들, 모터들, 및 연관된 드라이브 메커니즘들만을 가지는 것으로 보이고 있다. 그러나, 당업자는 여기에 설명되는 개념들이 임의의 수 또는 트랙들 및 기판 캐리어들로 쉽사리 추정될 수 있다는 것을 인식할 것이다.
도 4를 이제 참조하면, 예시적인 실시형태는 클록-아암 기판 캐리어 메커니즘 (200) (도 2 참조) 의 평면도 (400) 를 기판 트래버서 메커니즘 (300) (도 3 참조) 에 연계하여 보여준다. 이 예시적인 실시형태에서, 기판 트래버서 메커니즘 (300) 은 클록-아암 기판 캐리어 메커니즘 (200) 상측에서 동작한다.
조합된 클록-아암 및 트래버서 메커니즘의 예시적인 동작이 이제 도 2 및 도 4를 함께 참조하여 설명된다. 기판이 프로세스 챔버 (131) (도 1b 참조) 에서 처리된 후, 로터리 아암들 (201) 중 하나의 로터리 아암은 기판 리프터들 (207) 중 하나의 기판 리프터 (예컨대, 이 리프터는 기판 수송 슬롯 (133) 에 대향하게 위치되어 있다) 의 상측에서 일시적으로 중지된다. 기판 리프터 (207) 는 반도체 기판 (311) 을 로터리 아암 (201) 상에 위치된 기판 캐리어 (135) 로부터 들어올린다. 미리 제 위치에 있지 않으면, 기판 트래버서 메커니즘 (300) 상의 기판 캐리어들 중 하나의 기판 캐리어, 예를 들어, 우측-탑재형 기판 캐리어들 (305) 중 하나의 우측-탑재형 기판 캐리어는, 기판 리프터 (207) 뒤쪽의 위치로 (즉, 기판 수송 슬롯 (133) 에 대향하는 트래버서의 단부의 극단 (extreme) 위치로 또는 그 근처로) 횡단된다. 그러면 기판 리프터 (207) 는 반도체 기판 (311) 을 우측-탑재형 기판 캐리어 (305) 의 최상위 캐리어 표면을 세정하기 위해 충분히 높이 들어올린다. 그 다음 캐리어는 반도체 기판 (311) 을 수용하도록 (즉, 기판-적재한 리프터 하측에 캐리어가 중앙에 오도록) 횡방향으로 이동하고 기판 리프터 (207) 는 내려가서, 그 기판을 우측-탑재형 기판 캐리어 (305) 상에 배치시킨다. 기판 리프터 (207) 는 기판 캐리어 (135) 의 최저 부분에 의해 형성된 평면 아래로 계속 내려간다. 이 시점에, 이전에 정지했던 로터리 아암 (201) 이, 다른 위치로 이동될 수도 있다. 일단 반도체 기판 (311) 이 우측-탑재형 기판 캐리어 (305) 상에 탑재되면, 그 기판은 기판 수송 슬롯 (133) 쪽으로 선형적으로 수송되고 로봇 (미도시) 에 의해 FOUP (113) (도 1a 참조) 의 슬롯 내로 다시 이송될 수도 있다.
방금 설명된 기판 제거 프로세스와는 실질적으로 동시에, 미처리된 기판이 로봇에 의해 FOUP (113) 로부터 제거되고, 예를 들어, 좌측-탑재형 기판 캐리어들 (307) 중 하나의 좌측-탑재형 기판 캐리어 상에 배치될 수도 있다. (도 3을 다시 참조하여, 좌측-탑재형 기판 캐리어들 (307) 이 더러운-기판 캐리어들로서 간주될 수도 있고 우측-탑재형 기판 캐리어들 (305) 은 청정(clean)-기판 캐리어들로서 간주될 수도 있다는 것을 상기한다). 기판 리프터들 (207) 중 하나의 기판 리프터를 사용하여, 미처리된 기판은, 이제 중지된 로터리 아암들 (201) 중 하나의 로터리 암의 기판 캐리어 상에 배치될 수도 있다. 예를 들어, 미처리된 기판은 위에서 설명된 바와 같이, 처리된 기판이 방금 제거된 동일한 기판 캐리어 (135) 상에 배치될 수도 있다. (도 3을 계속 참조하면, 기판 트래버서 메커니즘 (300) 상의 기판 캐리어들의 각각이 서로 다른 승강 높이에서 횡방향으로 이동되어, 프로세스 챔버 (131) 로부터 제거중인 처리된 기판과 프로세스 챔버 (131) 내로 들어가는 미처리된 기판 사이의 간섭을 피한다는 것을 상기한다.) 대안으로, 미처리된 기판은 처리된 웨이퍼가 제거되었던 로터리 아암 (201) 의 대향 단부의 기판 캐리어 상에 배치될 수도 있다. 또 다른 대안으로, 미처리된 기판은 로터리 아암들 (201) 중 임의의 로터리 아암의 어느 한 단부 상의 기판 캐리어 상에 배치될 수도 있다. 당업자가 인식할 바와 같이, 부가적인 로터리 아암들, 기판 리프터들, 및 선형 기판 캐리어들은 기판 스루풋을 향상시키기 위해 더 추가될 수도 있다.
게다가, 클록-아암 기판 캐리어 메커니즘 (200) 및 기판 트래버서 메커니즘 (300) 의 설명된 설계는 기판의 각각의 핸드오프가 단일 축 움직임이 되는 것을 허용한다. 예를 들어, 핸드오프는 2 개의 구성요소들인, 기판을 이송하는 제 1 메커니즘 및 기판을 수용하는 제 2 메커니즘을 필요로 한다. 그러나, 여기에 설명된 바와 같이, 2 개의 메커니즘들 중 하나의 메커니즘은 이동되지 않아서 (즉, 그것은 고정이다), 2 개의 메커니즘들 간에 실질적으로 감소된 전달 이슈들 (예컨대, 하나의 메커니즘이 이동되지 않으므로 덜 엄격한 타이밍 이슈들) 을 가져서 기판 이송 동작들의 신뢰도를 증가시킨다. 따라서, 로봇은 항상, 기판을 이동시키는 비교적 고정된 위치를 갖는다. 이 고정된 위치는 (서로 독립적인 클록-아암 기판 캐리어 메커니즘 (200) 의 로터리 아암들 (201) 로 인해) 넉넉한 시간 간격과 결합된다. 결과적으로, 시간 당 500 개 이상의 기판들의 높은 스루풋이 쉽사리 성취될 수 있다. 덧붙여, 로봇을 제외하면, 여기에 논의되는 모든 움직임들은 클록-아암 기판 캐리어 메커니즘 (200) 및 기판 트래버서 메커니즘 (300) 이 비교적 저비용으로 생산되는 것을 허용하는 단일 축이다.
우측-탑재형 기판 캐리어들 (305) 및 좌측-탑재형 기판 캐리어들 (307) 의 c-자형 구조는 기판 리프터들 (207) 중 어느 하나가 기판 캐리어들로부터의 간섭 없이 올라가고 내려가는 것을 허용한다는 것에 주목한다. 기판 리프터 (207) 가 수직으로 올라가면, 기판 리프터 (207) 의 핑거들 (fingers) 은 기판 캐리어 (135) 에서의 슬롯들을 횡단한다. 기판 리프터 (207) 가 계속 올라가면, 좌측-탑재형 기판 캐리어 (307) 는 기판 리프터 (207) 의 핑거들, 결과적으로, 반도체 기판 (311) 에 대한 중심이 같게 될 (즉, 기판 리프터 (207) 의 핑거들, 결과적으로, 반도체 기판 (311) 과 중심에 놓일) 때까지 횡방향으로 이동될 수 있다. 그 다음 기판 리프터 (207) 는 낮아지고 그러면 반도체 기판 (311) 은 좌측-탑재형 기판 캐리어 (307) 에 의해 캡처링되고 홀딩된다. c-자형 구조가 여기에 설명되는 본 발명의 주제의 양태들에 요구되지 않지만, 당업자는 c-자형 캐리어의 일부 동작상의 이점들을 인식할 것이다. 덧붙여, 당업자는, 로터리 아암들 (201) 모두가 서로 독립적으로 이동할 수 있으므로, 아암들 중 하나의 아암이 로딩되거나 언로딩되기 위해 중지되는 경우, 다른 아암들은 계속 이동할 수도 있고, 이에 의해 전체 시스템의 효율 및 스루풋을 크게 증가시킨다는 것을 인식할 것이다.
도 5a를 이제 참조하면, 프로세스 챔버 (131) (도 1b 참조) 의 하부 챔버 부분 (500) 의 사시도는 도 4의 예시적인 클록-아암 기판 캐리어 메커니즘 및 기판 트래버서 메커니즘에 사용되는 예시적인 프로세스 챔버 배출 및 드레인 위치들을 보여준다. 배출 또는 드레인 시스템의 부분이 실제로는 아니지만, 하부 챔버 부분 (500) 은 기판 리프터들 (207) (도 2 참조) 에 대한 한 쌍의 개구부들 (501) 을 구비하는 것으로 보이고 있다. 한 쌍의 개구부들 (501) 이 배출 또는 드레인 시스템의 부분이 아니므로, 이들은 도 5a의 완전성에 대해 주로 보이고 있지만, 예를 들어, 약 50 cfm (분 당 대략 1.4 m3) 의 공기흐름이 기판들 상의 잠재적인 오염을 더 감소시키도록 한 쌍의 개구부들 (501) 의 각각을 통해 드로잉될 수도 있다.
하부 챔버 부분 (500) 은 또한 다수의 외부 트래버서 배출 포트들 (503A, 503B), 다수의 프로세스 배출 포트들 (505A, 505B), 다수의 내부 트래버서 배출 포트들 (507A, 507B) 및 한 쌍의 프로세스 드레인 포트들 (509) 을 구비하는 것으로 보이고 있다. 다양한 배출 포트들 및 드레인 포트들은 프로세스 챔버 (131) 내의 미립자 수를 감소시킬 뿐만 아니라 화학물질 밀폐를 제공하기 위해 FFU (137) (도 1b 참조) 로부터의 공기흐름을 허용하도록 배치된다. 부가적인 세부사항들이 프로세스 챔버 (131) 내의 공기흐름 및 압력 구배들의 분석에 관해 아래에서 도 7a 내지 도 7g를 참조하여 제공된다.
도 5b는 도 5a의 하부 챔버 부분 (500) 하측에서 기계적으로 연결하도록 배치된 예시적인 프로세스 챔버 배출 및 드레인 시스템 (550) 의 사시도를 보여준다. 도 5b는 따라서 도 5a의 배출 포트들 및 드레인 포트들 중 다양한 것들이 도 1b의 프로세스 모듈 (130) 에 관계하여 상호접속되는 방법의 더 양호한 이해를 당업자에게 제공한다. 프로세스 챔버 배출 및 드레인 시스템 (550) 은 또한 유입구 프로세스-드레인 매니폴드 (551), p-트랩 (553), 및 2차 밀폐 트레이 (555) 를 구비하는 것으로 보이고 있다. 유입구 프로세스-드레인 매니폴드 (551) 는 크로스-튜브 어셈블리 (145) 하측에서 프로세스 드레인 포트들의 각각을 서로 연결시킨다. p-트랩 (553) 은 p-트랩 (553) 의 최저 부분 내에 액체가 위치함으로써 형성된 실질적인 기밀 밀봉부를 제공한다. 위치된 액체는 제작 설비에 대한 접속부로부터의 임의의 가스들이 프로세스 챔버 (131) 내로 다시 흘러들어가는 것을 방지하고 이에 따라 역으로 흐르는 가스로부터의 임의의 화학물질 또는 다른 오염물을 감소시킨다. 2차 밀폐 트레이 (555) 는 그렇지 않으면 프로세스 모듈 (130) 로부터 누설되거나 적하될 수도 있는 액체들을 캐치한다. 예시적인 실시형태에서, 폴리비닐리덴 디플루오라이드 (PVDF) 재료들이 화학물질 드레인 라인들용으로 이용될 수 있는 반면 폴리염화비닐 염화화합물 (CPVC) 재료들은 다양한 공기흐름 라인들용으로 이용될 수 있다. 당업자는 이 기술분야에서 독립적으로 알려진 다른 재료들 역시 채용될 수도 있다는 것을 인식할 것이다.
특정 예시적인 실시형태에서, 프로세스 챔버들로부터의 총 약 400 cfm (분 당 대략 11 m3) 에 대해, 약 120 cfm (분 당 대략 3.4 m3) 의 체적 공기흐름이 프로세스 챔버들 (131) 에서 화학물질 존들의 각각으로부터 드로잉될 수 있고 뿐만 아니라 부가적인 160 cfm (분 당 대략 4.5 m3) 이 프로세스 챔버들 (131) 양쪽 모두의 비-화학물질 존들로부터 드로잉될 수 있다. 프로세스 챔버들 (131) 내에서 기판들 상의 미립자 오염물들을 감소시키는 것 외에, 공기흐름은 하나의 챔버에서부터 또 다른 챔버로의 화학물질 오버플로를 추가로 감소시키는 것을 도와서, 그렇지 않으면 제작 설비 내로 누출할 수도 있는 화학물질 증기의 양을 감소시킨다. 이 특정 예시적인 실시형태에서, 총 공기흐름은 다음과 같이 적어도 부분적으로 세분화될 수 있다. 외부 트래버서 배출 포트들 (503A) 의 각각은 약 24 cfm (분 당 대략 0.68 m3) 를 드로잉하고 외부 트래버서 배출 포트들 (503B) 각각은 26 cfm (분 당 대략 0.74 m3) 을 드로잉한다. 내부 트래버서 배출 포트들 (507A) 의 각각은 약 12.5 cfm (분 당 대략 0.35 m3) 을 드로잉하고 내부 트래버서 배출 포트들 (507B) 각각은 약 25 cfm (분 당 대략 0.71 m3) 을 드로잉한다. 프로세스 배출 포트들 (505A) 각각은 약 48 cfm (분 당 대략 1.4 m3) 을 드로잉하고 프로세스 배출 포트들 (505B) 각각은 약 52 cfm (분 당 대략 1.5 m3) 을 드로잉한다.
약 0.5 인치 H2O의 음의 게이지 압력 (대략 0.9 torr) 이 제 1 챔버 배출 파이프 (141) 및 제 2 챔버 배출 파이프 (143) 의 프로세스 배출 포트들 (505A) 의 각각의 근처에서 존재하고 약 0.6 인치 H2O의 약간 더 음의 게이지 압력 (대략 1.1 torr) 이 프로세스 배출 포트들 (505B) 의 각각 근처의 배출 파이프들에 존재한다. 제 1 챔버 배출 파이프 (141) 로부터 크로스-튜브 어셈블리 (145) 에 들어가는 배출 압력은, 약 1.2 인치 H2O의 약간 더 음의 압력 (대략 2.2 torr) 이 제 2 챔버 배출 파이프 (143) 로부터 크로스-튜브 어셈블리 (145) 에 들어가서 약 0.8 인치 H2O (대략 1.5 torr) 이다. 프로세스 드레인 포트들 (509) 각각은 약 6 cfm (분 당 대략 0.17 m3) 을 드로잉한다. 챔버 배출 스택 (147) 과 설비 인터페이스의 접속부에서의 음의 배출 압력은 약 400 cfm (분 당 대략 11 m3) 의 체적 드로잉 (volumetric draw) 에서 약 1.7 인치 H2O (대략 3.2 torr) 이다. 명시적으로 보이진 않았지만, 압력 센서는 챔버 공기흐름을 검증하기 위해 챔버 배출 스택 (147) 내에서 사용될 수도 있다. 압력 센서는, 시스템 시동 또는 계속적인 동작이 미리 정의된 레벨 미만에 속하는 음의 배출 압력이 되는 것을 방지하기 위해 예를 들어, 제 1 오퍼레이터 제어 인터페이스 (115A) (도 1a 참조) 에서의 프로세싱 도구 (100) 에 하드와이어드 (hard-wired) 될 수 있다.
이제 도 1b 및 도 6을 동시에 참조하면, 예시적인 공기 핸들링 개략도가 도 1a의 EFEM (110) 및 프로세스 모듈 (130) 내외로의 체적 공기 유량을 보여준다. 당업자는 모든 체적 유량들이 근사적일 뿐이고 시스템의 전체 설계를 이해하는 것을 돕는 것으로서 주어진다는 것을 인식할 것이다. 다른 유량들이 채용될 수도 있다. 이 예시적인 실시형태에서, 910 cfm (분 당 대략 25.8 m3) 의 체적 유량이 하나 이상의 팬들 (601) 에 의해 HEPA 필터 (603) 속에 생성된다 (이들 양쪽 모두는 FFU (137) 내에 있다). 원래의 910 cfm으로부터, 대략 590 cfm (분 당 대략 16.7 m3) 이 트래버서 볼륨 (607) 을 직접 가로질러 흐르며, 176 cfm (분 당 대략 4.98 m3) 이 챔버 볼륨들 (609) 내로 흐르고, 145 cfm (분 당 대략 4.10 m3) 의 청정한 초과 공기흐름이 FFU (137) 하측에 연결된 후드 하측에서 주변 슬릿 (753) (아래의 도 7b 참조) 을 통해 설비 주변으로 리턴된다. 예시적인 실시형태에서, 주변 슬릿 (753) 은 약 12 mm의 높이이고 후드의 주변 주위에 형성된다. 주변 슬릿 (753) 을 통과하는 초과 공기흐름은 초 당 약 1 m의 유출구 속도 (exit velocity) 를 갖고, 충분한 공기흐름이 트래버서에 대해 모든 시간들에서 유효하고 챔버 볼륨들은 하나 이상의 팬들 (601) 이 감소된 체적 공기출력을 가질 수 있게 하는 것을 보장하는 것을 돕는다.
계속해서 도 1b 및 도 6을 참조하여, EFEM 포트 (605) 는 또 다른 90 cfm (분 당 대략 2.5 m3) 이 트래버서 볼륨 (607) 에 추가되어, 기판 로드 스테이션들 (도 1a 참조) 상에 위치된 임의의 반도체 기판들 (311) (도 3 참조) 에 대한 압력을 트래버서 볼륨 (607) 보다 높은 압력으로 유지하고, 임의의 화학물질 또는 미립자 오염물이 프로세스 챔버들 (131) 로부터 EFEM (110) 내로, 그리고 결과적으로, 제작 설비 내로 다시 흐르는 것을 감소시키거나 또는 방지한다. 트래버서 볼륨 (607) 은 챔버 볼륨들 (609) 을 참조하여 양의 압력으로 유지된다. 이 압력은 트래버서 압력 게이지 (619A) 에 의해 모니터링된다. 트래버서 압력 게이지 (619A) 는 오퍼레이터 제어 인터페이스들 중 하나의 오퍼레이터 제어 인터페이스 (예컨대, 도 1a의 제 1 오퍼레이터 제어 인터페이스 (115A)) 에 전자적으로 연결될 수도 있다.
트래버서 볼륨 (607) 내의 양의 압력으로 인해, 64 cfm (분 당 대략 1.8 m3) 의 공기가 트래버서 볼륨 (607) 으로부터 챔버 볼륨들 (609) 내로 흐르고 60 cfm (분 당 대략 1.7 m3) 의 공기가 레일 슬롯 볼륨 (611) (내부 트랙 섹션 (203) 및 외부 트랙 섹션 (205) 을 포함, 도 2 참조) 내로 흐른다. 64 cfm 체적 공기흐름이 일반적으로 캐리어들과 동일한 평면에 있고, 챔버에서의 많은 난류를 방지하는 속도로 유지되지만, 화학 증기가 초 당 약 3 피트와 초 당 10 피트 (대략 초 당 1 m 및 초 당 3 m) 사이에서 드래깅되는 것을 방지하기 위해 캐리어 속도보다 큰 속도를 갖는다. 내부 트랙 섹션 (203) 및 외부 트랙 섹션 (205) 이 로터리 아암들 (201) 을 기계적으로 안내하므로, 일부 미립자 오염물은 슬라이딩 부재들의 개별 표면들이 만나는 곳에서 발생된다. 그러므로, 60 cfm의 공기흐름은 레일 슬롯 볼륨 (611) 으로부터 스크러브식 배출부 (scrubbed exhaust; 617) 로의 입자들을 스크러빙하는 공기흐름을 제공한다. 스크러브식 배출부 (617) 는 약 1 내지 2 인치의 H2O (대략 1.9 내지 3.7 torr) 의 시스템의 나머지에 관한 음의 압력으로 유지된다. 트래버서 볼륨 (607) 은 한 쌍의 트래버서 배출 팬들 (411) (도 4b 참조) 로부터 또 다른 120 cfm을 발생시킨다. 도 6은 120 cfm (분 당 대략 3.4 m3) 이 주변으로 소산됨을 나타낸다. 그러나, 당업자는 한 쌍의 트래버서 배출 팬들 (411) 로부터의 배출이 옵션적으로 플로어-드레인 시스템 볼륨 (floor-drain system volume; 613) 으로 향하게 될 수도 있다는 것을 인식할 것이다. 트래버서 볼륨 (607) 내에 생성된 나머지 436 cfm (분 당 대략 12.3 m3) 은 플로어-드레인 시스템 볼륨 (613) 으로 향한다.
챔버 볼륨들 (609) 을 다시 참조하면, 챔버 볼륨들 (609) 은 또한 챔버 압력 게이지 (619B) 에 의해 모니터링된다. 트래버서 압력 게이지 (619A) 및 챔버 압력 게이지 (619B) 는 미립자 오염물 및 화학물질 오염물이 트래버서 볼륨 (607) 및 챔버 볼륨들 (609) 로부터, 위에서 나타낸 바와 같이 개별적으로 적절히 일소되는 것을 보장한다.
챔버 볼륨들 (609) 에 들어가는 240 cfm (분 당 대략 6.8 m3) 중, 공기흐름의 조절가능한 부분은 화학물질 헤드 볼륨들 (615) (도 2의 화학물질 방출 헤드들에 관련됨) 및 레일 슬롯 볼륨 (611) 로 향하게 되어 초과 흐름은 스크러브식 배출부 (617) 로 향하게 된다. 주변 슬릿 (753) 을 통해 설비 주변으로 리턴되는 145 cfm의 청정한 초과 공기흐름 외에는, 실질적으로 모든 다른 공기흐름 (이는 화학물질들 또는 미립자들에 의해 잠재적으로 오염됨) 은 플로어 드레인 시스템 (FDS) (613) 을 통해 설비 또는 스크러브식 배출부 (617) 내로 리턴한다.
도 6을 계속 참조하면서 이제 도 7a를 참조하면, 도 1b의 프로세스 챔버 (131) 의 입체 구성요소들의 예시적인 사시도 (700) (부분 절개됨) 및 예시적인 평면도 (730) 가 보이고 있다. 입체 구성요소들은 도 5b 및 도 6을 참조하여 위에서 설명된 바와 같은 다양한 공기흐름들 및 압력 구배 결정들의 전산 유체 역학 (CFD) 모델링에 사용된다. 예시적인 사시도 (700) 는 하나 이상의 팬들 (601) 및 HEPA 필터 (603) 를 구비한, FFU (137) (도 1b 참조) 에 대한 하우징 영역 (703) 을 구비하는 것으로 보이고 있다. 후드 (701) 는 하우징 영역 (703) 에서부터의 공기흐름을 기판 트래버서 메커니즘에 (명료함을 보존하기 위해 명시적으로 도시되지 않음) 그리고 프로세스 챔버 (131) 내에 연결시킨다. 캐리어-아암 통로 슬롯 (705) 은 챔버 볼륨들 (609) 에 비하여 트래버서 볼륨 (607) 에서 더 높은 압력을 보존하는 것을 도와서, 프로세스 챔버 (131) 의 외부 부분들의 화학물질 밀폐를 거든다. 도 2의 로터리 아암들 (201) 은 동작 동안 캐리어-아암 통로 슬롯 (705) 을 통해 움직인다. 예시적인 평면도 (730) 는 도 2의 화학물질 헤드들이 위치될 수도 있는 화학물질 영역들 (731) 을 구비하는 것으로 보이고 있다.
도 7b를 이제 참조하면, 사시도 (750) 및 측면도 (770) (양쪽 도면은 대칭선의 한쪽 측면에 대한 것임) 는 도 7a의 입체 구성요소들을 활용하는 전산 유체 역학 모델링에서 사용되는 도 1b의 프로세스 챔버의 유체 도메인을 보여준다. 도 7b는 다양한 CFD 모델 흐름 다이어그램들 및 추종하는 압력 다이어그램들의 전반적인 이해를 제공한다. 일상적인 언어로, FFU (137) 로부터의 주 공기 유입구 (751) 및 EFEM (110) 로부터의 2차 공기 유입구 (757) 의 조합은 공기흐름을 도 1b의 프로세스 챔버 (131) 로 전달한다. 도 6을 참조하여 위에서 설명된 주변 슬릿 (753) 은, 제작 설비 내로 되돌아가는 청정한 초과 공기흐름 (755) 을 제공한다. 상부 화학물질-방출 헤드 (211) 및 프로세스 배출 포트들 (505A, 505B) 이 여기에 설명되는 다양한 도면들 (예컨대, 도 1b 내지 도 5b) 의 구성요소들 사이의 관계들을 당업자가 이해하는 것을 돕기 위해 보여진다. 도 6을 참조하여 논의된 바와 같이, 위에서 설명된 다양한 배출 공기흐름들 각각은 결국에는 실질적으로 FDS 유출구로 라우팅되어, 배출 공기흐름 유출구 (759) 로 제공된다.
도 7c 내지 도 7h는 CFD 모델링 기법들에서의 당업자가 도 5b 및 도 6을 참조하여 위에서 설명된 본 발명의 주제의 다양한 양태들을 더 충분히 이해하고 인지하는 것을 돕는 것으로서 포함된다. 예를 들어, 도 7c는 도 1b의 프로세스 챔버 내의 일반 공기흐름 모션의 유체 도메인 내에서의 사시도 (750), 평면도 (790), 및 측면도 (770) (3 개의 도면 전부는 대칭선의 한쪽 측면에 대한 것임) 에 대한 컴퓨팅된 입자 트레이스를 보여준다. 당업자는 컴퓨팅된 입자 트레이스가 도 6의 공기 핸들링 개략도에 대해 위에서 주어진 일반화된 설명들을 확인시켜줌을 인식할 것이다.
도 7d는 프로세스 챔버 (131) 내에서 상대 압력차들의 위치들을 검증하기 위해 사시도 (750) 및 평면도 (790) (양쪽 도면은 대칭선의 한쪽 측면에 대한 것임) 에 대한 컴퓨팅된 압력 필드를 보여준다. 컴퓨팅된 압력 필드는, 예를 들어, 미립자 및 화학물질 밀폐 구역들 양쪽 모두를 검증한다. 화학 증기들 및 더 작은 미립자들 (예컨대, 50 ㎛ 미만) 양쪽 모두는 감소된 압력 (즉, 다른 주변 볼륨들에 비하여 더 음의 압력) 을 갖는 영역들에 실질적으로 포함된다.
도 7e는 프로세스 챔버 내의 HEPA 유입구로부터의 일반 공기 흐름 모션의 사시도 (대칭선의 한쪽 측면에 대한 것임) 에 대한 컴퓨팅된 속도 흐름 필드를 보여주는 한편 도 7f는 유사하게 챔버 유입구의 후드 슬릿에서부터 다양한 유출구들까지의 일반 공기 흐름 모션을 보여준다.
도 7g는 프로세스 챔버의 일 부분 내의 이온화 바들 (139) 중 하나의 이온화 바 주변에서 HEPA 유입구 및 주변 슬릿 (753) (도 7b 참조) 으로부터의 일반 공기 흐름 모션의 측면도 (대칭선의 한쪽 측면에 대한 것임) 에 대한 컴퓨팅된 속도 흐름 필드를 보여준다. 마찬가지로, 도 7h는 프로세스 챔버 내에서 HEPA 유입구 및 주변 슬릿 (753) 으로부터의 압력 구배들의 측면도 (대칭선의 한쪽 측면에 대한 것이고 이온화 바들 (139) 은 없음) 에 대한 컴퓨팅된 압력 필드를 보여준다.
당업자는, 도 7b 내지 7h의 흐름 및 압력 다이어그램들에 의해 보여진 컴퓨팅된 흐름 및 압력 필드들의 각각이 여기에 설명되는 다양한 예시적인 실시형태들을 더 충분히 이해하기 위해 도 5b의 예시적인 프로세스 챔버 배출 및 드레인 시스템의 물리적 레이아웃과 도 6의 예시적인 공기 핸들링 개략도의 관점에서 고려되고 있다는 것이 인지될 것이다. 당업자는, 여기에 제공된 다양한 설명들을 고려해 볼 때, 프로세스 도구에서의 공기흐름 관리에 대한 예시적인 시스템이 다양한 도구들에 대해 그리고 프로세스 라인에서의 다수의 지점들에서 구현될 수 있다는 것을 추가로 인식할 것이다. 당업자는 이 시스템이 전형적인 제작 설비의 다양한 부분들에서 (예컨대, 전처리 (front-end-of-line), 후처리 (back-end-of-line), 및 테스트 작업들에서) 복수의 프로세스 및 계측 도구들 모두에 쉽사리 통합될 수 있다는 것을 추가로 인식할 것이다.
더구나, 본 발명의 주제의 개요가 특정 예시적인 실시형태들을 참조하여 설명되었지만, 다양한 변형예들 및 변경들은 이들 실시형태들에 대해 본 발명의 주제의 양태들의 더 넓은 정신 및 범위로부터 벗어남 없이 만들어질 수도 있다. 본 발명의 주제의 이러한 실시형태들은, 용어 "발명"을 단지 편이를 위해 그리고 하나를 초과하는 것이 사실상 개시되었다면 이 출원의 범위를 임의의 단일 발명 또는 발명적 개념으로 자발적으로 제한하는 것을 의도하지 않고 여기에, 개별적으로 또는 종합하여 언급될 수도 있다. 여기에 예시되는 실시형태들은 당업자들이 개시된 가르침들을 실용화하는 것을 가능하게 하도록 충분히 상세히 기재하고 있다. 이로부터 다른 실시형태들이 사용되고 도출될 수도 있어서, 구조적 및 논리적 치환예들 및 변경예들이 이 개시물의 범위로부터 벗어남 없이 만들어질 수도 있다. 상세한 설명은, 그러므로, 제한하는 의미로 취해지지 않고, 다양한 실시형태들의 범위는 첨부의 청구항들과 함께 이러한 청구항이 권리를 도모하는 동등물들의 전체 범위에 의해서만 규정된다.
더구나, 복수의 사례들이 여기에 설명되는 단일 사례로서의 구조적 요소들 또는 동작들에 대해 제공될 수도 있다. 다른 기능성 할당들이 구상된다. 다른 할당들은 본 발명의 주제의 다양한 실시형태들의 범위 내에 들어갈 수도 있다. 대체로, 예시적인 구성들에서의 별개의 자원들로서 제시된 구조들 및 기능은 조합된 구조 또는 자원으로서 구현될 수도 있다. 마찬가지로, 단일 자원으로서 제시된 구조들 및 기능은 별개의 자원들로서 구현될 수도 있다.
덧붙여, 반도체 업계와 제휴하는 많은 산업들이 여기에 설명되는 시스템들 및 기법들을 이용한다. 예를 들어, 데이터 스토리지 업계에서의 박막 헤드 (TFH) 프로세스, 평판 패널 디스플레이 업계에서의 액티브 매트릭스 액정 디스플레이 (AMLCD), 또는 마이크로-전기기계식 (MEM) 업계는 설명된 시스템들 및 기법들을 쉽사리 이용할 수 있다. 용어 "반도체"는 따라서 전술한 및 관련된 산업들을 포함하는 것로서 인식되어야 한다. 이들 및 다른 개조예들, 변형예들, 추가예들, 및 개량들은 첨부의 청구항들에 의해 표현되는 본 발명의 범위 내에 속한다. 명세서 및 도면들은, 따라서, 제한하는 의미보다는 예시적인 것으로 간주되는 것들이다.

Claims (15)

  1. 기판 생산 도구의 공기흐름 관리 시스템으로서,
    상기 공기흐름 관리 시스템은,
    상기 기판 생산 도구를 팬 필터 유닛에 연결시키는 하우징으로서, 상기 팬 필터 유닛은, 필터링된 공기를 상기 하우징에 제공하는, 상기 하우징;
    상기 기판 생산 도구를 감압 배출 메커니즘에 연결시키는 설비 접속부;
    상기 하우징 하측에 연결되고 상기 설비 접속부와 공기흐름이 통하는 기판 이송 섹션;
    상기 기판 이송 섹션에 하나 이상의 기판 수송 슬롯들에 의해 연결된 기판 프로세스 영역; 및
    상기 기판 이송 섹션 및 상기 기판 프로세스 영역을 실질적으로 포함하는 챔버를 포함하고,
    상기 챔버는, 상기 하우징에 연결되어 상기 필터링된 공기를 수용하고, 상기 설비 접속부에 연결되어 초과 가스 흐름에 대한 배출을 제공하며,
    상기 챔버는, 상기 기판 프로세스 영역에서 상기 기판 이송 섹션에 비해 낮은 압력을 유지하도록 구성되는, 기판 생산 도구의 공기흐름 관리 시스템.
  2. 제 1 항에 있어서,
    상기 하나 이상의 기판 수송 슬롯들은, 상기 기판 프로세스 영역에서 상기 기판 이송 섹션에 비해 낮은 압력을 허용하도록 배치되는, 기판 생산 도구의 공기흐름 관리 시스템.
  3. 제 1 항에 있어서,
    상기 챔버는 또한, 상기 기판 이송 섹션에서 상기 설비 접속부에 비해 높은 압력을 유지하도록 구성되는, 기판 생산 도구의 공기흐름 관리 시스템.
  4. 제 1 항에 있어서,
    상기 챔버는 또한, 상기 기판 프로세스 영역에서 상기 설비 접속부에 비해 높은 압력을 유지하도록 구성되는, 기판 생산 도구의 공기흐름 관리 시스템.
  5. 제 1 항에 있어서,
    상기 챔버는, 복수의 기판들을 기판 인클로저로부터 상기 챔버의 내부와 외부에 수용하기 위한 기판 포트를 더 포함하고,
    상기 기판 포트는 상기 기판 인클로저에 비해 낮은 압력으로 유지되는, 기판 생산 도구의 공기흐름 관리 시스템.
  6. 제 1 항에 있어서,
    상기 기판 프로세스 영역은 화학 프로세스 섹션을 포함하는, 기판 생산 도구의 공기흐름 관리 시스템.
  7. 제 6 항에 있어서,
    상기 기판 프로세스 영역에서 상기 기판 이송 섹션에 비해 낮은 압력을 유지하도록 구성되는 상기 챔버는, 상기 화학 프로세스 섹션 내의 화학 증기들이 상기 기판 이송 섹션에 도달하는 것을 실질적으로 방지하는 것인, 기판 생산 도구의 공기흐름 관리 시스템.
  8. 제 1 항에 있어서,
    상기 기판 이송 섹션 내에 실질적으로 포함된 선형 기판 트래버서 메커니즘 (linear substrate traverser mechanism) 을 더 포함하고,
    상기 선형 기판 트래버서 메커니즘은, 그 선형 기판 트래버서 메커니즘 상에 탑재되는 복수의 선형 기판 캐리어들을 갖고,
    상기 복수의 선형 기판 캐리어들 각각은, 서로 실질적으로 평행한 평면에서 상기 기판들을 수송하도록 구성되고, 상기 복수의 선형 기판 캐리어들 각각은 서로 다른 평면에 있는, 기판 생산 도구의 공기흐름 관리 시스템.
  9. 웨이퍼 프로세스 도구의 공기흐름 관리 시스템으로서,
    상기 공기흐름 관리 시스템은,
    필터링된 공기를 상기 웨이퍼 프로세스 도구에 제공하는 팬 필터 유닛;
    상기 웨이퍼 프로세스 도구를 웨이퍼 제작 설비의 감압 배출 메커니즘과 연결시키는 설비 접속부;
    상기 팬 필터 유닛 하측에 연결되고 상기 설비 접속부와 공기흐름이 통하는 웨이퍼 이송 섹션;
    화학 프로세스 섹션을 갖고, 상기 웨이퍼 이송 섹션에 하나 이상의 웨이퍼 수송 슬롯들에 의해 연결되는 웨이퍼 프로세스 영역; 및
    상기 웨이퍼 이송 섹션 및 상기 웨이퍼 프로세스 영역을 실질적으로 포함하는 프로세스 챔버를 포함하고,
    상기 프로세스 챔버는, 상기 팬 필터 유닛에 연결되어 상기 필터링된 공기를 수용하고, 상기 설비 접속부에 연결되어 초과 가스 흐름에 대한 배출을 제공하며,
    상기 프로세스 챔버는, 상기 웨이퍼 프로세스 영역에서 상기 웨이퍼 이송 섹션에 비해 낮은 압력을 유지하도록 구성되는, 웨이퍼 프로세스 도구의 공기흐름 관리 시스템.
  10. 제 9 항에 있어서,
    상기 웨이퍼 프로세스 영역에서 상기 웨이퍼 이송 섹션에 비해 낮은 압력을 유지하도록 구성되는 상기 프로세스 챔버는, 상기 화학 프로세스 섹션 내의 화학 증기들이 상기 웨이퍼 이송 섹션에 도달하는 것을 실질적으로 방지하는 것인, 웨이퍼 프로세스 도구의 공기흐름 관리 시스템.
  11. 제 9 항에 있어서,
    상기 하나 이상의 웨이퍼 수송 슬롯들은, 상기 웨이퍼 프로세스 영역에서 상기 웨이퍼 이송 섹션에 비해 낮은 압력을 허용하도록 배치되는, 웨이퍼 프로세스 도구의 공기흐름 관리 시스템.
  12. 제 9 항에 있어서,
    상기 웨이퍼 이송 섹션 내에 실질적으로 포함된 선형 웨이퍼 트래버서 메커니즘을 더 포함하고,
    상기 선형 웨이퍼 트래버서 메커니즘은, 그 선형 웨이퍼 트래버서 메커니즘 상에 탑재되는 복수의 선형 웨이퍼 캐리어들을 갖고,
    상기 복수의 선형 웨이퍼 캐리어들 각각은, 서로 실질적으로 평행한 평면에서 상기 웨이퍼들을 수송하도록 구성되고, 상기 복수의 선형 웨이퍼 캐리어들 각각은 서로 다른 평면에 있는, 웨이퍼 프로세스 도구의 공기흐름 관리 시스템.
  13. 기판 생산 도구에 공기흐름 관리 시스템을 제공하는 방법으로서,
    상기 기판 생산 도구를 팬 필터 유닛에 연결시키는 제 1 메커니즘을 제공하는 단계로서, 상기 팬 필터 유닛은, 필터링된 공기를 상기 기판 생산 도구에 제공하는, 상기 제 1 메커니즘을 제공하는 단계;
    상기 기판 생산 도구를 감압 배출 메커니즘에 연결시키는 제 2 메커니즘을 제공하는 단계로서, 상기 감압 배출 메커니즘은, 상기 기판 생산 도구 내의 초과 가스 흐름에 대한 배출을 제공하는, 상기 제 2 메커니즘을 제공하는 단계;
    상기 기판 생산 도구의 기판 프로세스 영역을, 상기 기판 생산 도구의 기판 이송 섹션의 압력보다 낮은 압력으로 유지하는 단계;
    상기 기판 프로세스 영역을, 상기 감압 배출 메커니즘의 압력보다 높은 압력으로 유지하는 단계; 및
    상기 기판 이송 섹션을, 상기 감압 배출 메커니즘의 압력보다 높은 압력으로 유지하는 단계를 포함하는, 기판 생산 도구에 공기흐름 관리 시스템을 제공하는 방법.
  14. 제 13 항에 있어서,
    상기 기판 프로세스 영역에서 상기 기판 이송 섹션에 비해 낮은 압력을 허용하기 위해 상기 기판 프로세스 영역과 상기 기판 이송 섹션 사이에 하나 이상의 기판 수송 슬롯들을 제공하는 단계를 더 포함하는, 기판 생산 도구에 공기흐름 관리 시스템을 제공하는 방법.
  15. 제 13 항에 있어서,
    상기 기판 이송 섹션과 상기 기판 프로세스 영역 사이의 미립자 수송을 감소시키기 위해 상기 기판 이송 섹션과 상기 기판 프로세스 영역을 서로에 대해 실질적으로 수평하게 위치되도록 배치하는 단계를 더 포함하는, 기판 생산 도구에 공기흐름 관리 시스템을 제공하는 방법.
KR20127027648A 2010-03-24 2011-03-23 프로세스 도구에서의 낮은 미립자 수를 위한 공기흐름 관리 KR20130010903A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/730,868 US8893642B2 (en) 2010-03-24 2010-03-24 Airflow management for low particulate count in a process tool
US12/730,868 2010-03-24
PCT/US2011/029623 WO2011119729A2 (en) 2010-03-24 2011-03-23 Airflow management for low particulate count in a process tool

Publications (1)

Publication Number Publication Date
KR20130010903A true KR20130010903A (ko) 2013-01-29

Family

ID=44654981

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20127027648A KR20130010903A (ko) 2010-03-24 2011-03-23 프로세스 도구에서의 낮은 미립자 수를 위한 공기흐름 관리

Country Status (7)

Country Link
US (2) US8893642B2 (ko)
JP (1) JP5734409B2 (ko)
KR (1) KR20130010903A (ko)
CN (1) CN102906855B (ko)
SG (1) SG184199A1 (ko)
TW (1) TWI509724B (ko)
WO (1) WO2011119729A2 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
MX2011008470A (es) * 2009-02-11 2011-09-15 Dow Agrosciences Llc Composiciones pesticidas.
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US9958424B2 (en) 2012-10-01 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of identifying airborne molecular contamination source
CN112892093B (zh) * 2021-01-25 2022-05-10 广东钜宏科技股份有限公司 一种风量均匀的ffu装置

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH067542B2 (ja) 1984-11-22 1994-01-26 株式会社日立製作所 製造装置
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
JPH0237742A (ja) 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置
JP2706665B2 (ja) 1990-01-18 1998-01-28 東京エレクトロン株式会社 基板移載装置及び処理装置
US5133284A (en) 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
JPH0531472A (ja) * 1990-11-17 1993-02-09 Tokyo Electron Ltd 洗浄装置
EP0496006A1 (en) 1991-01-19 1992-07-29 International Business Machines Corporation Wafer transfer apparatus
JPH04290454A (ja) 1991-03-19 1992-10-15 Fujitsu Ltd 基板処理装置および基板搬送方法
JP3309416B2 (ja) 1992-02-13 2002-07-29 松下電器産業株式会社 連結式クリーン空間装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
KR0179405B1 (ko) 1993-04-12 1999-04-15 마스다 쇼오이치로오 크린장치가 부착된 하물보관설비
DE4340522A1 (de) 1993-11-29 1995-06-01 Leybold Ag Vorrichtung und Verfahren zum schrittweisen und automatischen Be- und Entladen einer Beschichtungsanlage
US5979475A (en) 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
WO1997035690A1 (fr) 1996-03-22 1997-10-02 Komatsu Ltd. Robot de manutention
JP3218425B2 (ja) 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
JP3437734B2 (ja) 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
GB2343672B (en) 1997-08-28 2001-04-04 Cvc Products Inc Wafer Handler and Multi-Station Processing System and Method for Handling Wafers
US6155768A (en) 1998-01-30 2000-12-05 Kensington Laboratories, Inc. Multiple link robot arm system implemented with offset end effectors to provide extended reach and enhanced throughput
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
JP4404481B2 (ja) 1998-02-18 2010-01-27 アプライド マテリアルズ インコーポレイテッド 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
JP2000021947A (ja) 1998-06-30 2000-01-21 Sony Corp 乾式処理装置
US6158951A (en) 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact
JP3212951B2 (ja) 1998-10-22 2001-09-25 兼房株式会社 分割切削型チップソー
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
EP1135795B1 (en) 1998-12-02 2008-03-12 Newport Corporation Specimen holding robotic arm end effector
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6368183B1 (en) 1999-02-03 2002-04-09 Speedfam-Ipec Corporation Wafer cleaning apparatus and associated wafer processing methods
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6429139B1 (en) 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
US20020075478A1 (en) 2000-12-18 2002-06-20 Applied Materials, Inc. Inspection device having wafer exchange stage
KR100433067B1 (ko) 2001-01-22 2004-05-27 주식회사 라셈텍 반도체 제조장치
JP4683453B2 (ja) 2001-04-27 2011-05-18 芝浦メカトロニクス株式会社 真空処理装置
US6663333B2 (en) 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
JP2003229466A (ja) 2002-02-04 2003-08-15 Seiko Instruments Inc 真空処理装置
US20030202865A1 (en) 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
TWI282139B (en) 2002-07-01 2007-06-01 Advanced Display Kabushiki Kai Carrying vehicle, manufacturing apparatus, and carrying system
JP2004193418A (ja) 2002-12-12 2004-07-08 Seiko Epson Corp 半導体装置の製造方法
JP2004200329A (ja) 2002-12-17 2004-07-15 Tokyo Electron Ltd 基板処理装置及び基板処理方法
KR100483428B1 (ko) 2003-01-24 2005-04-14 삼성전자주식회사 기판 가공 장치
JP4468021B2 (ja) 2003-03-25 2010-05-26 キヤノン株式会社 ロードロックシステム及び露光処理システム並びにデバイスの製造方法
JP4290454B2 (ja) 2003-03-28 2009-07-08 三井化学株式会社 ガス拡散電極の製造方法、電解槽及び電解方法
JP3680083B2 (ja) 2003-09-26 2005-08-10 バンドー化学株式会社 伝動ベルト用プーリ及びベルト伝動装置
WO2005034227A1 (en) 2003-10-08 2005-04-14 Semes Co., Ltd Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
JP2005131772A (ja) * 2003-10-31 2005-05-26 Ebara Corp ポリッシング装置
US20080003171A1 (en) 2004-04-20 2008-01-03 Smith William L Microbial Control Using Hypochlorous Acid Vapor
WO2005121027A2 (en) 2004-06-04 2005-12-22 Durr Ecoclean, Inc. An integrated machining module for processing workpieces and a method of assembling the same
JP2006028577A (ja) 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
KR20060066415A (ko) * 2004-12-13 2006-06-16 삼성전자주식회사 기판 이송 장치
KR100782380B1 (ko) 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
KR101279819B1 (ko) 2005-04-12 2013-06-28 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 방사-편향 연마 패드
CN100362620C (zh) 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US20070218197A1 (en) 2006-03-15 2007-09-20 Yoichi Kurono Vacuum processing system and method of making
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100814238B1 (ko) 2006-05-03 2008-03-17 위순임 기판 반송 장치 및 이를 이용한 기판 처리 시스템
KR100818044B1 (ko) 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
WO2008140728A2 (en) 2007-05-08 2008-11-20 Brooks Automation, Inc. Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US7644757B2 (en) 2007-07-02 2010-01-12 Stinger Wellhand Protection, Inc. Fixed-point packoff element with primary seal test capability
US8008884B2 (en) 2007-07-17 2011-08-30 Brooks Automation, Inc. Substrate processing apparatus with motors integral to chamber walls
JP4359640B2 (ja) 2007-09-25 2009-11-04 東京エレクトロン株式会社 基板搬送装置及びダウンフロー制御方法
JP4251580B1 (ja) * 2008-01-08 2009-04-08 Tdk株式会社 被収容物搬送システム
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
WO2011022870A1 (zh) 2009-08-24 2011-03-03 Wang Lvsha 为高强度气体放电灯配置的镇流控制装置及镇流装置
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool

Also Published As

Publication number Publication date
WO2011119729A3 (en) 2012-01-19
TWI509724B (zh) 2015-11-21
JP5734409B2 (ja) 2015-06-17
US9321000B2 (en) 2016-04-26
JP2013526009A (ja) 2013-06-20
US8893642B2 (en) 2014-11-25
US20110232771A1 (en) 2011-09-29
CN102906855A (zh) 2013-01-30
WO2011119729A2 (en) 2011-09-29
US20150040757A1 (en) 2015-02-12
CN102906855B (zh) 2015-09-02
TW201207981A (en) 2012-02-16
SG184199A1 (en) 2012-10-30

Similar Documents

Publication Publication Date Title
KR101798261B1 (ko) 프로세스 도구에서 메커니즘들을 이동시킴으로써 생성된 입자 오염의 감소
US9321000B2 (en) Airflow management for low particulate count in a process tool
TWI778553B (zh) 具有工廠介面腔室過濾器淨化的基板處理設備及方法
US8562272B2 (en) Substrate load and unload mechanisms for high throughput
US7635244B2 (en) Sheet-like electronic component clean transfer device and sheet-like electronic component manufacturing system
US10522379B2 (en) Substrate transfer apparatus
KR20050045695A (ko) 기판 처리 장치 및 기판 처리 방법
TW201631649A (zh) 基板液處理裝置、排氣切換單元及基板液處理方法
JP2010123964A (ja) 基板処理装置及び基板処理装置での整備方法
KR20130110020A (ko) 기판 세정 장치 및 그것을 구비한 기판 처리 장치
JP4790326B2 (ja) 処理システム及び処理方法
KR100612421B1 (ko) 기판 이송 시스템
KR102030038B1 (ko) 기판 처리 장치
KR20060066415A (ko) 기판 이송 장치
US11908713B2 (en) Semiconductor substrate treatment system
TWI747239B (zh) 基板處理裝置
US20220347694A1 (en) Efem
US20040266208A1 (en) Method for preventing particle-based contamination of substrates and structure therefor
KR20060041360A (ko) 기판 이송 시스템

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid