JP5684281B2 - ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造 - Google Patents

ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造 Download PDF

Info

Publication number
JP5684281B2
JP5684281B2 JP2012543245A JP2012543245A JP5684281B2 JP 5684281 B2 JP5684281 B2 JP 5684281B2 JP 2012543245 A JP2012543245 A JP 2012543245A JP 2012543245 A JP2012543245 A JP 2012543245A JP 5684281 B2 JP5684281 B2 JP 5684281B2
Authority
JP
Japan
Prior art keywords
nanowire
photodiode
substrate
layer
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012543245A
Other languages
English (en)
Other versions
JP2013513253A (ja
Inventor
ユー,ヨンジュン
ウォーバー,ムニーブ
ウェンドリング,トーマス,ピー.,エイチ.,エフ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zena Technologies Inc
Original Assignee
Zena Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zena Technologies Inc filed Critical Zena Technologies Inc
Publication of JP2013513253A publication Critical patent/JP2013513253A/ja
Application granted granted Critical
Publication of JP5684281B2 publication Critical patent/JP5684281B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y20/00Nanooptics, e.g. quantum optics or photonic crystals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02653Vapour-liquid-solid growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035209Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures
    • H01L31/035227Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures the quantum structure being quantum wires, or nanorods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Optics & Photonics (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Light Receiving Elements (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)

Description

関連出願
本出願は、「VERTICAL WAVEGUIDES WITH VARIOUS FUNCTIONALITY ON INTEGRATED
CIRCUITS」と題する米国特許出願第12/270,233号の一部継続出願である。当該米国特許出願の内容は参照により全体として本明細書に組み込まれる。本出願は、2009年11月19日に出願され「NANOWIRE CORE-SHELL LIGHT PIPES」と題する米国特許出願12/621,497に関連する。当該米国特許出願の内容は参照により全体として本明細書に組み込まれる。
本実施形態は、集積回路の製造に関し、より具体的には、ナノワイヤを備えるフォトダイオード(PD)等の光検出装置に関する。
イメージセンサは、多くのセンサ素子(ピクセル)を備え、直交(正方形)グリッドにおいては一般に100万個以上のセンサ素子を備える。隣接するピクセル間の距離はピッチ(p)と呼ばれる。ピクセルの面積はp2 となる。感光性素子の面積、すなわち電気信号への変換のための感光性を有するピクセルの面積は、通常、ピクセルの表面面積のわずか20%から30%にすぎない。
設計者にとって問題となるのは、ピクセルに衝突した光をなるべくたくさんピクセルの感光性素子に導くことである。感光性素子に到達する光は、様々な要因により減少する。一つの要因は、イメージセンサの構成方法である。今日では、フォトダイオードの多くは、エッチング処理及びシリコン結晶の上にシリコン酸化物、金属、及び窒化物の多数の層を積層する蒸着処理によって、プレーナー技術を用いて製造される。PN接合は、基板上における複数のレイヤとして構成され、デバイスに実質的に水平方向の配向を与える。光検出は、これらのレイヤの一部において行われる。
典型的なセンサのレイヤは、表1及び図1に示されている。
Figure 0005684281
表1において、典型的には、シリコン基板上にある最初のレイヤはILDレイヤであり、最上部にあるレイヤは保護膜である。表1において、ILDは、層間誘電体レイヤを示し、METAL1, METAL2及びMETAL3は、別種の金属レイヤを示し、IMD1B, IMD2B及びIMD5Bは、スペーサレイヤである別種の金属間誘電体レイヤを示し、PASS1、PASS2及びPASS3は、別種のパッシベーションレイヤ(典型的には誘電体レイヤ)を示す。
イメージセンサのシリコン基板上のレイヤの合計厚さは、イメージセンサのスタック高さであり、個々のレイヤの厚さの合計である。表1の例においては、個々のレイヤの厚さの合計は約11.6マイクロメートルである。ピクセルの感光性素子上のスペースは、フルカラー環境からの入射光がシリコン基板内にある感光性素子に衝突できるように、光に対して透過的でなければならない。したがって、金属層は、ピクセルの感光性素子を横切るように設けることはできず、感光性素子の真上にあるレイヤは透明なものとされる。
ピクセルピッチのスタック高さに対する比 (p/s)は、当該ピクセルによって受容されシリコン上の感光性素子に伝達される光の円錐(F値)を決定する。ピクセルが小さくなり、スタック高さが増すにつれて、この値は減少し、それによりピクセルの効率が低下する。
さらに重要な点は、金属レイヤの数が増加してスタック高さが増加すると、光がスタックを透過して感光性素子に到達することが妨げられてしまうことである。角度をもってセンサ素子に衝突する光線については特に問題となる。一つの解決策は、スタック高さを相当程度(すなわち、>2μm)減少させることである。しかしながら、この解決方法は、標準的なプレーナー処理においては実現が困難である。従来のイメージセンサの性能を最も制限する可能性のある問題は、イメージセンサに衝突した光のうち3分の1よりも少ない光しかフォトダイオード等の感光性素子に伝達されないことである。従来のイメージセンサにおいては、光の三要素を識別してフルカラー環境の色を再現できるようにするために、当該三要素のうちの二要素がフィルタを用いてピクセルごとに除去される。例えば、赤いピクセルは、緑色光及び青色光を吸収し、赤色光のみをセンサに透過させるフィルタを備える。
ナノスケール技術、特にナノワイヤの製造能力の発展によって、プレーナー技術では不可能な方法で構造を設計し、材料を組み合わせることが可能になってきた。この発展の基礎は、ナノワイヤの材料特性がカラーフィルタをイメージセンサのフォトダイオードの各々に設ける必要性を克服し、イメージセンサに衝突する光を多く収集できるようにした点にある。シリコンナノワイヤは、欠陥を生成することなくシリコン上で成長することができる。Samuelsonらの米国特許公開公報2004-0075464においては、ナノワイヤ構造に基づく複数のデバイスが開示されている。
図1は従来のイメージセンサの断面図を示す。
図2は、マイクロレンズを備えるイメージセンサの一実施形態の断面図を示す。
図3−1〜図3−19は、一実施形態に係るイメージセンサのライトガイドを作製する様々な工程を示す。
図4は、一実施形態に係るイメージセンサのライトガイドの作製時にPN接合を有するナノワイヤを成長させる工程を示す。
図5は、一実施形態に係るイメージセンサのライトガイドの作製時にPIN接合を有するナノワイヤを成長させる工程を示す。
図6は、一実施形態に係るイメージセンサの一つの空洞内にあるナノワイヤアレイの一実施形態を示す。
図7は、本明細書に開示されている実施形態に係るイメージセンサを備えるデバイスの上面図の概略図を示し、各イメージセンサは、補色を表す2つの出力を有する。
図8aは、一実施形態に係るナノワイヤデバイスの断面図を示す。
図8bは、一実施形態に係るナノワイヤデバイスの上面図を示す。
図9aは、図8a及び図8bに図示された実施形態の簡略化した断面図を示す。
図9bは、A−A線に沿うナノワイヤにおけるポテンシャルをプロットした図を示す。
図10は、図9aのC−C線に沿うナノワイヤのポテンシャルをプロットした図を示す。
図11aは、徐々に先細となるフォトゲートを備えるナノワイヤの断面図を示す。
図11bは、階段状に先細となる一実施形態のフォトゲートを有するナノワイヤの断面図を示す。
図12aは、徐々に先細となるフォトゲートを備えるナノワイヤの断面図を示す。
図12bは、階段状に先細となる一実施形態のフォトゲートを有するナノワイヤの断面図を示す。
図13は、一実施形態に係るナノワイヤデバイスの断面図を示す。
図14は、垂直PINナノワイヤを有する一実施形態に係るナノワイヤデバイスの断面図を示す。
図15は、垂直PINナノワイヤを有する一実施形態に係るナノワイヤデバイスの断面図を示す。
図示された構成要素の参照符号は、以下の表にまとめられている。各要素については以下でより詳細に説明される。
参照符号 構成要素
VPG 1 (VP Gate 1):第1垂直フォトゲート
VPG 2 (VP Gate 1):第2垂直フォトゲート
TX Gate:トランスファーゲート
FD:トランスファードレイン
RG:リセットゲート
RD:リセットドレイン
Sub:基板
VDD:正のトランジスタ電圧
Vout:出力電圧
NW (nw):ナノワイヤ
De:誘電体レイヤ
PG:フォトゲート
I (i):電流
n+, n-:過剰ドナーを有する半導体物質であり、n+は高濃度にドープされていることを示し、n-は低濃度にドープされていることを示す。
p+, p-:過剰ドナーを有する半導体物質であり、p+は高濃度にドープされていることを示し、p-は低濃度にドープされていることを示す。
以下の詳細な説明では、本明細書の一部を構成する添付図面を参照する。
図面においては、文脈上別に解される場合を除き、類似の記号は一般に類似のコンポーネントを示す。詳細な説明で説明された実施形態、図面、及び請求項は、本発明の範囲を限定することを意図するものではない。本明細書において提示される発明主題の趣旨や範囲を逸脱しない限り、詳細な説明で説明されていない実施形態を適用することも可能であり、詳細な説明で説明されていない変更をなすことも可能である。
本開示は、一例として、イメージセンサ及び複合ピクセルに関連する方法、装置、システム、及びデバイスに関する。複合ピクセルは二つのピクセルを備えており、各ピクセルは、二つの光検出器を備え、光の二つの異なる波長領域を検出することができる。一実施形態は、イメージセンサの効率を向上させる方法に関する。他の実施形態は、衝突光の3分の1より大きい量が電気信号を生成するために用いられるように、カラーフィルタを除外する方法を提供する。他の実施形態は、イメージセンサに衝突する電磁放射の検出量を増加させることによってイメージセンサの効率を向上させる方法に関する。
一実施形態は、コア及びクラッドを有する光パイプ(optical pipe)を備えるデバイスに関する。当該光パイプは、入射してくる電磁放射ビームの波長を、コア 及びクラッドによって選択波長に分離するように構成される。コアは、波長が選択波長になるまで当該波長を伝送する伝送路であるとともに、当該コアを伝送される選択波長までの波長を検出する能動素子でもあるように構成される。
光パイプは、当該光パイプに衝突する電磁放射を閉じ込めて伝送する素子である。光パイプは、コア及びクラッドを備えることができる。コア及びクラッドは光パイプの相補的なコンポーネントであり、当該光パイプに入射してくる電磁放射ビームの波長を、当該コア及びクラッドによって選択波長に分離するように構成される。能動素子は、電子および/または正孔の流れを電気的に制御可能な任意のタイプの回路部品である(電気若しくは光を制御する電気、または、その逆)。他の電気信号を用いて電流を制御することができないコンポーネントは受動素子と呼ばれる。抵抗器、キャパシタ、インダクタ、変圧器、およびダイオードはすべて受動素子とされる。ここに開示される実施形態において、能動素子には、導波管、トランジスタ、シリコン制御整流子(SCRs)、発光ダイオード、およびフォトダイオードを含むが、これらに限定はされない。導波管は、選択的な波長の電磁放射を閉じ込め、その物理的な境界により決められる方向に導くよう設計されたシステムまたは材料である。好ましくは、選択波長は導波管の直径の関数となる。能動導波管は、電子および/または正孔の流れを電気的に制御可能な導波管である(電気若しくは光を制御する電気、または、その逆)。例えば能動導波管のこうした性能が、能動導波管が「能動(active)」であって能動素子の部類に含まれると考えられることの理由の一つである。
フォトゲートは、光電子デバイスにおいて用いられるゲートである。典型的には、フォトゲートは、金属‐酸化物‐半導体(MOS)構造を有する。フォトゲートは、フォトダイオードの積分時間に光発生電荷を蓄積し、積分が終了したときに電荷の移動を制御する。フォトダイオードはPN接合を含むが、フォトゲートは任意の種類の半導体材料に載置される。垂直フォトゲートは新規の構造である。通常は、フォトゲートは、平面フォトダイオードデバイスに載置される。
しかしながら、ナノワイヤデバイスにおいては、フォトゲートは垂直方向に形成される。すなわち、ナノワイヤの側面から起立している。ナノワイヤは、約100ナノメートル以下の厚み又は径を有する構造であり、その長さは制約されない。換言すれば、ナノワイヤは、その径がナノメートルスケール(1nm〜 100nm)の長いワイヤ状の構造である。トランスファーゲートは、ピクセル内で用いられるスイッチのゲートである。トランスファーゲートの役割は、デバイスの一方から他方へ電荷を伝達することである。一部の実施形態においては、トランスファーゲートは、フォトダイオードからセンスノードへ電荷を伝達するため(又は浮動拡散のため)に用いられる。リセットゲートは、デバイスをリセットするために用いられるゲートである。一部の実施形態においては、デバイスはn+領域によって形成されるセンスノードである。リセットは、特定の電圧により設定されたオリジナル電圧レベルに復元することを意味する。一部の実施形態においては、リセットドレイン(RD)の電圧がリセットレベルとして用いられる電圧となる。
フローティングキャパシタは、基板から浮いているキャパシタである。通常は、キャパシタは、二つの電極とそれらの間にある絶縁体から成る。典型的には、両電極は他のデバイス又は信号線に接続されている。ピクセルにおいては、水中における氷のように、電極の一つを構造に接続できないことがある。このような接続されておらず切り離された領域が基板に対するフローティングキャパシタを形成する。換言すれば、当該切り離された領域は、浮動している電極を有する。
基板は、通常接地されている他の電極を有する。その間にある空乏領域は絶縁体を備える。
グローバルコネクションは、一つの信号線が複数の枝分かれしたデバイスを同時に制御できるようにするために、多くのブランチノードが信号線に電気的に接続されるコネクションである。ソースフォロワー増幅器は、共通ドレイントランジスタ増幅器である。すなわち、ソースノードがゲートノードと同じ位相を追跡するトランジスタ増幅器である。トランジスタのゲート端子は入力として働き、ソースは出力として働く。ドレインは、両方(入力及び出力)に共通である。薄膜レイヤは、物理的に基板表面の近くに位置するドープ層である。例えば、p+レイヤは、イオン導入の際に極めて小さなエネルギーを用いて意図的に非常に薄く形成される。通常は、薄膜レイヤの接合深さは、0.01μm〜0.2μmである。
対照的に、深いレイヤは、数μmから数十μmの深さであってもよい。
アンドープ半導体又はi型半導体とも呼ばれる真性半導体は、実質的にドーパント種が存在しない純粋な半導体である。したがって、電荷キャリアの数は、不純物の量ではなく、材料自体の特性によって決定される。真性半導体においては、励起電子の数及び正孔の数は等しく、n=pとなる。真性半導体の伝導性は、結晶欠陥又は熱励起に依存する。真性半導体においては、伝導バンド中の電子の数は、価電子バンド中の正孔の数と等しい。
シャロートレンチアイソレーション(STI)は、ボックス分離技術としても知られており、隣接する半導体デバイスコンポーネント間での電流の漏洩を防止する集積回路の特徴である。STIは、一般に250ナノメートル以下のCMOSプロセス技術ノードにおいて用いられる。古いCMOS技術及び非MOS技術はいずれも、局所酸化シリコン(LOCOS)による絶縁を用いる。STIは、典型的には半導体デバイス製造プロセスの初期のトランジスタが形成される前に形成される。STIプロセスの工程は、シリコン中にトレンチパターンをエッチングする工程、当該トレンチを埋めるために一又は複数の誘電材料(例えば二酸化ケイ素)をエッチングする工程、及び化学機械平坦化等の技術を用いて過剰な誘電体を除去する工程を含む。
一実施形態は、集積回路(IC)において、能動的光デバイスへの光の伝達を向上させる方法に関する。一実施形態は、薄い垂直導波管又はIC表面もしくは能動デバイスに対して傾いた導波管を生成する方法に関する。他の実施形態は、IC又は能動的光デバイスからの、導波管のコアとして、又は、能動導波管、フィルタ、もしくはフォトダイオード等の能動デバイス自体としてのナノワイヤの成長に関する。一実施形態は、先端リソグラフィ等の方法、又は、垂直導波管、フィルタ、又は能動的光デバイスもしくはICの上部のフォトダイオードを生成するナノファブリケーション法によって作製される導波管に関する。
好ましくは、デバイスは、コア及びクラッドにおいて検出された電磁放射エネルギーの適切な組み合わせによって、電磁放射に含まれている白黒又は発光情報を解決するように構成される。
本明細書で開示される実施形態においては、好ましくは、コアは導波管を備える。好ましくは、能動素子は、フォトダイオード、電荷蓄積キャパシタ、又はこれらの組み合わせとなるように構成される。より好ましくは、コアは、半導体材料を含む導波管を備える。デバイスは、さらに、コアの導波管の周囲にパッシベーションレイヤを備えることができる。デバイスは、さらに、コアの導波管の周囲に金属レイヤを備えることができる。デバイスは、さらに、パッシベーションレイヤの周囲に金属レイヤを備えることができる。好ましくは、デバイスは、白黒フィルタや赤外フィルタを備える。好ましくは、光パイプは、円形、非円形、又は円錐形である。好ましくは、コアは、コア屈折率 (n1)を有し、クラッドは、クラッド屈折率 (n2)を有する。ここで、n1 > n2 又はn1 = n2となる。
一部の実施形態においては、デバイスは、さらに、少なくとも一組の金属接点を備えることができ、当該金属接点の少なくとも一つは、導波管に接続されている。好ましくは、光パイプは、選択波長において入射してくる電磁放射ビームの波長を、カラーフィルタ又は赤外フィルタを用いることなくコア及びクラッドによって分離するように構成される。好ましくは、導波管は、当該導波管を通って伝送される電磁放射のエネルギーを変換して電子正孔対(励起子)を生成するように構成される。好ましくは、導波管は、当該導波管で生成された励起子を検出するように構成されたPIN接合を有する。
一部の実施形態においては、デバイスは、導波管周囲のコアの内部にある絶縁体レイヤと当該絶縁体レイヤの周囲にある金属レイヤとをさらに備え、これにより導波管で生成された励起子を集めて電荷を蓄積するように構成されたキャパシタが形成される。このデバイスは、さらに、金属レイヤ及び導波管に接続されて、キャパシタに蓄積された電荷を制御及び検出する金属接点を備える。好ましくは、クラッドは、コアを通っては伝送しない電磁放射ビームの波長を伝送する伝送路となるように構成される。好ましくは、クラッドは、受動導波管を備える。
一部の実施形態においては、デバイスは、さらに、周辺感光性素子を備えることができる。この周辺感光性素子は、クラッドに動作可能に接続される。好ましくは、光パイプの電磁放射ビーム受容端は、湾曲した面を備える。好ましくは、周辺感光性素子は、基板上又は基板内に配置される。好ましくは、コア及びクラッドは、電子回路を有する基板上に配置される。
一部の実施形態においては、デバイスは、さらに、光パイプにまたがるレンズ構造又は光結合器を備えることができる。当該光結合器は、当該光パイプと光学的に結合される。好ましくは、光結合器は、電磁放射を光パイプに導く湾曲面を備える。
一部の実施形態においては、デバイスは、光パイプを囲むスタックをさらに備えることができる。当該スタックは、誘電体レイヤに埋め込まれた金属レイヤを備える。当該誘電体レイヤは、クラッドよりも低い屈折率を有する。好ましくは、当該スタックの表面は、反射面を備える。好ましくは、コアは第1の導波管を備え、クラッドは第2の導波管を備える。
他の実施形態は、少なくとも二つの異なるデバイスを備える複合光検出器に関する。各デバイスは、コア及びクラッドを含む光パイプを備える。当該光パイプは、選択波長で入射してくる電磁放射ビームの波長を、コア及びクラッドによって分離するように構成される。コアは、波長が選択波長になるまで当該波長を伝送する伝送路であるとともに、当該コアを通って伝送される選択波長までの波長を検出する能動素子でもあるように構成される。当該複合光検出器は、電磁放射ビームの波長スペクトルを再構成するように構成される。好ましくは、コアは、選択波長を超える波長の電磁放射がクラッドを通って伝わるように、当該選択波長を有する第1の導波管を備える。前記少なくとも二つの異なるデバイスが前記複合光検出器に入射した電磁放射ビームを分離できるように、当該少なくとも二つの異なるデバイスの各々のコアの選択波長は異なっている。好ましくは、クラッドは、選択波長を超える波長の電磁放射がクラッド内にとどまり、周辺感光性素子に伝送されるようにする第2の導波管を備える。好ましくは、クラッドの電磁放射ビーム放射端におけるクラッドの断面積は、周辺感光性素子の面積と実質的に等しい。複合光検出器は、さらに、光パイプを囲む金属レイヤ及び非金属レイヤのスタックを備えることができる。
好ましくは、複合光検出器は、波長の4つの異なる範囲の電磁放射のエネルギーを検出するように構成される。波長の4つの異なる範囲の電磁放射のエネルギーは、赤色、緑色、及び青色を構成するために結合される。
他の実施形態は、少なくとも第1のデバイス及び第2のデバイスを備える複合光検出器に関する。当該第1のデバイスは、第1の選択波長で光パイプに入射した電磁放射ビームの第1の分離をフィルタ無しで提供するように構成される。当該第2のデバイスは、第2の選択波長で光パイプに入射した電磁放射ビームの第2の分離をフィルタ無しで提供するように構成される。第1の選択波長は、第2の選択波長と異なる。第1のデバイス及び第2のデバイスの各々は、コアを備える。当該コアは、波長が選択波長になるまで当該波長を伝送する伝送路であるとともに、当該コアを通って伝送される選択波長までの波長を検出する能動素子でもあるように構成される。当該複合光検出器は、電磁放射ビームの波長スペクトルを再構成するように構成される。好ましくは、二つの異なるデバイスは、異なる径のコアを備える。好ましくは、波長のスペクトルは、可視光、赤外光、又はこれらの組み合わせの波長を有する。好ましくは、第1のデバイスは、第2のデバイスのコアと異なる径を有するコアを備える。波長のスペクトラムは、可視光、赤外光、又はこれらの組み合わせの波長を有する。
好ましくは、第1のデバイスは、第1の選択波長を超える波長の電磁放射が第1の導波管によって閉じ込められないように、当該第1の選択波長を有する第1の導波管を備える。好ましくは、第2のデバイスは、第2の選択波長を超える波長の電磁放射が第2の導波管によって閉じ込められないように、当該第2の選択波長を有する第2の導波管を備える。第1の選択波長は、第2の選択波長と異なる。好ましくは、第1のデバイスは、さらに、第1の選択波長よりも大きな波長の電磁放射を当該第1の導波管内にとどまらせることができる第1の導波管を備える。
第2のデバイスは、さらに、第2の選択波長よりも大きな波長の電磁放射を当該第2の導波管内にとどまらせることができる第2の導波管を備える。好ましくは、第1及び第2のデバイスの各々は、感光性素子を有するクラッドを備える。複合光検出器は、さらに、当該第1及び第2のデバイスを囲む金属レイヤ及び非金属レイヤのスタックを備えることができる。好ましくは、第1のデバイスは、第2のデバイスのコアとは異なる径のコアを備える。波長のスペクトラムは、可視光の波長を有する。好ましくは、複数の光検出器は、正方形の格子、六角形の格子、又は異なる格子配列に配置される。
さらに他の実施形態においては、レンズ構造又は光結合器は、第1の開口及び第2の開口を有する。当該第1の開口は、当該第2の開口よりも大きい。また、接続面が、当該第1の開口と第2の開口との間に延びている。好ましくは、当該接続面は、反射面を備える。さらに他の実施形態において、複数の光検出器は、正平面充填形(regular tessellation)に配置される。さらに他の実施形態においては、図2に示すように、マイクロレンズの形状を取ることができる結合器が、電磁放射を集めて光パイプに導くように、光パイプ上に効率的に配置されている。図2に示すように、光パイプは、屈折率n2のクラッドで囲まれた屈折率n1のナノワイヤコアを備える。
図2の光パイプの構成においては、イメージセンサへの衝突光の3分の2を吸収する有色カラーフィルタを除外することができる。コアは、能動導波管として機能し、光パイプのクラッドは受動導波管として機能することができる。周辺感光性素子はコアを囲んでおり、クラッドの受動導波管を通って伝わる電磁放射を検出する。受動導波管は、カラーフィルタのようには光を吸収せず、選択された波長を選択的に伝送するように構成され得る。好ましくは、クラッドの下にある基板内又は基板上にある周辺感光性素子に隣接した光パイプのクラッドの端部の断面積は、当該周辺感光性素子の面積とほぼ同じである。
導波管は、受動型であれ能動型であれ、導波管が伝搬することができる最小の周波数となるカットオフ波長を有する。コアの体導波管の径は、当該導波管のカットオフ波長の制御パラメータになる。一部の実施形態においては、光パイプは、以下のパラメータで特徴付けられる円形の導波管として機能するように、断面円形であってもよい。(1) コア半径(Rc)、(2) コア屈折率 (n1)、及び(3) クラッド屈折率 (n2)。パラメータは、一般に、導波管を通って伝播できる光の波長を決定する。
導波管はカットオフ波長λctを有する。入射電磁放射のうちカットオフ波長よりも大きい波長を有する部分は、コアによって閉じ込められる。結果として、カットオフ波長が緑色の導波管として機能する光パイプは、コアの中を通って赤色光を伝播させない。
カットオフ波長が青色の導波管として機能する光パイプは、コアの中を通って赤色光及び緑色光を伝播させない。一実施態様においては、青色の波長及び青色/緑色の波長は、白色の波長に組み込まれ、クラッドの中に存在する。例えば、任意の青色光は、青色導波管のコア内にとどまり、任意の青色又は緑色光は他のコアの緑色/青色導波管にとどまり、光の他の成分は、白色導波管内の一又は複数のクラッド内にとどまる。
コアは、閉じ込められた光を吸収し電子正孔対(励起子)を生成することで、フォトダイオードとしても機能する。結果として、カットオフ波長が緑色のコア内の能動導波管は、赤色光を伝播せず、閉じ込められた緑色光を吸収して励起子を生成する。このようにして生成された励起子は、以下の構成のうちの少なくとも一つによって検出され得る。
(1)半導体、絶縁体、金属の三つのレイヤから成り、光誘起キャリアによって生成された電荷を集めるキャパシタを形成するコア。
蓄積された電荷を制御及び検出するために、金属及び半導体に対して接触する。
コアは、ナノワイヤを成長させ、当該ナノワイヤを囲む絶縁体レイヤ及び金属レイヤを蒸着させることによって形成され得る。
(2)コアワイヤ内にポテンシャル勾配を誘起するPIN接合を備えるコア。コア内のPIN接合は、ナノワイヤを成長させ、当該ナノワイヤのコアがPIN接合として成長しているときにドープし、任意のデバイスの一部である様々な金属レイヤを用いて適切な時点で接触させることによって形成され得る。当該実施形態の感光性素子は、典型的には、フォトダイオードを備えるが、フォトダイオードのみに限定されるものではない。
典型的には、フォトダイオードは、1立方センチメートルあたり約1 x 1016ドーパント原子から約1 x 1018ドーパント原子の濃度で、適切なドーパントを用いてドープされる。
図2におけるレイヤ1-11は、図1のレイヤ1-11に類似する様々なスタックレイヤを表す。スタックレイヤは、誘電材料含有レイヤ及び金属含有レイヤを含む。
誘電材料には、真空中での測定で約4〜20の誘電率を有するシリコンの酸化物、窒化物、及び酸窒化物が含まれるがこれらのものには限られない。また、約20から少なくとも約100の誘電率を有する、概して高い誘電率のゲート誘電材料も含まれるが、同様にこれらにも限定されない。これらの高誘電率の誘電材料には、酸化ハフニウム、ケイ酸ハフニウム、酸化チタン、チタン酸バリウムストロンチウム(BSTs)、及びチタン酸ジルコン酸鉛(PZTs)が含まれるが、これらのものには限られない。
誘電材料含有レイヤは、その材料組成にとって適切な方法を用いて形成され得る。このような方法の非限定的な例には、熱又はプラズマによる酸化又は窒化、化学蒸着法(原子層化学蒸着法を含む)、及び物理蒸着法を含む。金属含有レイヤは、電極として機能し得る。非限定的な例には、所定の金属、金属合金、金属シリサイド、金属窒化物、ポリシリコン材料(すなわち、1立方センチメートルあたり約1 x 1018ドーパント原子から約1 x 1022ドーパント原子のドーパント濃度を有する)、及びポリサイド材料(すなわちドープされたポリシリコン/金属シリサイドスタック)が含まれる。金属含有レイヤは、複数の方法のうちの任意のものを用いて、堆積され得る。非限定的な例には、化学蒸着法(原子層化学蒸着法も含む)、及び物理蒸着法が含まれる。金属含有レイヤは、ドープされたポリシリコン材料(典型的には1000〜1500オングストローム程度の厚みを有する)を含むことができる。
誘電体及びメタライゼーションスタックレイヤは、一連の誘電体パッシベーションレイヤを備える。相互接続されたメタライゼーションレイヤも当該スタックレイヤに組み込まれる。当該一組の相互接続されたメタライゼーションレイヤの構成要素には、コンタクトスタッド、相互接続レイヤ、及び相互接続スタッドが含まれるがこれらには限定されない。相互接続メタライゼーションレイヤにおいて用いられ得る個別のメタライゼーション相互接続スタッド及びメタライゼーション相互接続レイヤは、半導体製造の技術分野において従来から知られている複数のメタライゼーション材料のうち任意のものを含む。非限定的な例は、所定の金属、金属合金、金属窒化物、及び金属シリサイドを含む。最も一般的なものは、アルミニウムメタライゼーション材料及び銅メタライゼーション材料であり、これらの材料は、以下で詳述するように、障壁メタライゼーション材料を含むことが多い。メタライゼーション材料の種類は、半導体構造における寸法及び配置の関数として変化し得る。比較的小さく低位のメタライゼーションの主要なものとしは、典型的には、銅含有導電性材料が含まれる。比較的大きく高位のメタライゼーションの主要なものとしは、典型的には、アルミニウム含有導電性材料が含まれる。
一連の誘電体パッシベーションレイヤは、半導体製造の分野において従来から知られている複数の誘電材料のうち任意のものを含むことができる。これには、約4〜20の概して高い誘電率を有する誘電材料が含まれる。当該グループに含まれる非限定的な例は、シリコンの酸化物、窒化物、及び酸窒化物である。例えば、一連の誘電体レイヤには、約2〜4の概して低い誘電率を有する誘電材料が含まれ得る。当該グループには、シリコンヒドロゲル等のヒドロゲル、ケイ酸アルミニウム等のエアロゲル、カーボンエアロゲル、シルセスキオキサン塗布ガラス誘電材料、フッ素化ガラス材料、有機ポリマー材料、及びドープされた(例えば、カーボンやフッ素でドープされた)二酸化ケイ素及び及び多孔性二酸化ケイ素等のこれら以外の低誘電率材料が含まれるが、これらのものには限定されない。
典型的には、誘電体及びメタライゼーションスタックレイヤは、相互接続メタライゼーションレイヤ及び銅メタライゼーション材料及びアルミニウムメタライゼーション材料のうちの少なくとも一つを含む離散メタライゼーションレイヤを備える。誘電体及びメタライゼーションスタックレイヤは、上述した概して低誘電率の誘電材料のうちの少なくとも一つを含む誘電体パッシベーションレイヤをさらに備える。誘電体及びメタライゼーションスタックレイヤは、約1〜4ミクロンの全体厚みを有することができる。当該レイヤは、スタック内に約2〜4の離散水平誘電体及びメタライゼーション要素レイヤを備えることができる。スタックレイヤのレイヤは、半導体製造の分野において従来から知られており一連の誘電体パッシベーションレイヤを形成するのに適した方法及び材料を用いて、パターン形成された誘電体及びメタライゼーションスタックレイヤを形成するようにパターン形成され得る。
誘電体及びメタライゼーションスタックレイヤは、完全に内部に配置されているメタライゼーション特性を含む位置ではパターン形成されない。誘電体及びメタライゼーションスタックレイヤは、湿式化学エッチング法、ドライプラズマエッチング法、又はこれらを統合した方法を用いてパターン形成され得る。寸法が微細である必要がある場合には、 一連のパターン形成された誘電体及びメタライゼーションスタックレイヤを形成する際に改善された側壁のプロファイル制御が提供される場合には、ドライプラズマエッチング法及び電子ビームエッチングが概して望ましい。
平坦化レイヤ11は、光学的に透明な複数の平坦化材料のうち任意のものを含むことができる。非限定的な例には、塗布ガラス平坦化材料及び有機ポリマー平坦化材料が含まれる。平坦化レイヤ11は、光パイプの開口部を少なくとも平坦化するために十分な厚さを有するように、当該光パイプの上に延伸することができ、これにより、CMOSイメージセンサにおける追加的な構成の製造のために平坦面を提供することができる。平坦化レイヤは、パターン形成された平坦化レイヤを形成するためにパターン化されてもよい。
必須ではないが、パターン形成された平坦化レイヤ11に一連のカラーフィルタレイヤ12が配置されていてもよい。一連のカラーフィルタレイヤは、典型的には赤、緑、もしくは青の原色、又は、黄、シアン、もしくはマゼンタの補色のいずれかを含む。一連のカラーフィルタレイヤは、典型的には、染色又は着色されパターン形成され一連のカラーフィルタレイヤを形成するために内的に像形成された一連のフォトレジストレイヤを備える。または、一連のカラーフィルタレイヤは、染色又は着色した有機ポリマー材料を備えることができる。有機ポリマー材料は、染色又は着色されなければ光学的に透明であるが、適当なマスクレイヤを用いる際に外的に像形成される。別のカラーフィルタ材料を用いることもできる。フィルタは、モノクロセンサ又は赤外センサ用のフィルタであってもよい。当該フィルタは、可視光を遮断し、赤外光の大部分を透過させる。
スペーサレイヤ(13)は、マイクロレンズ(14)から物理的にスタックレイヤを分離するが光学的には分離しない任意の材料から成る一又は複数のレイヤであってもよい。スペーサレイヤは、導電性材料から成るスペーサレイヤも知られているが、誘電性スペーサ材料又は誘電性スペーサ材料の薄板から構成される。
シリコンの酸化物、窒化物、酸窒化物は、誘電性スペーサ材料として広く用いられている。その他の元素の酸化物、窒化物、及び酸窒化物も排除されない。
誘電性スペーサ材料は、上述したものと類似の、同等の、又は同一の方法を用いて堆積される。スペーサレイヤは、スペーサレイヤに内側に尖った形状の特徴を付与するブランケットレイヤ析出法及びエッチバック法を用いて形成することもできる。
マイクロレンズ(14)は、当該技術分野において知られている光学的に透明な複数のレンズ材料のうち任意のものを備えることができる。非限定的な例には、光学的に透明な無機材料、光学的に透明な有機材料、及び光学的に透明な複合材料が含まれる。最も一般的なのは、光学的に透明な有機材料である。典型的には、レンズレイヤは、一連のカラーフィルタ平坦化レイヤ12又はパターン形成された平坦化レイヤ11よりも低いガラス転移温度を有する有機ポリマー材料のパターン形成及びリフローによって形成される。光パイプにおいては、コア内の高屈折率材料は、例えば、約2.0の屈折率を有する窒化ケイ素である。
低屈折率のクラッドレイヤ材料は、例えば、約1.5の屈折率を有する表2から選択される材料である。
表2
典型的な材料 屈折率
マイクロレンズ(ポリマー) 1.583
スペーサ 1.512
カラーフィルタ 1.541
平坦化 1.512
PESiN 2.00
PESiO 1.46
SiO 1.46

表2において、PESiNは、プラズマ強化SiNを示し、PESiOは、プラズマ強化SiOを示す。
必須ではないが、マイクロレンズは、光パイプの上においてイメージセンサの入射電磁放射ビーム受容端近傍に配置されてもよい。マイクロレンズの機能は、結合器であること、すなわち、入射電磁放射ビームを光パイプに結合することである。本実施形態においてマイクロレンズが結合器として選択された場合には、光パイプからの距離は、感光性素子への距離よりもはるかに短く、その曲率ははるかに緩やかであるため、既存の製造技術を用いた実装が可能となる。
光パイプは、異なる実施形態においては異なる形状を取ることができる。一つの構成において、光パイプは円柱状である。すなわち、パイプの径が、当該光パイプの全長を通じて実質的に同じに維持される。他の構成においては、光パイプは、円錐状であってもよい。この場合、当該光パイプの断面の上方の径は、下方の径よりも大きいか又は小さい。「上方」及び「下方」という用語はイメージセンサの入射電磁放射ビーム受容端及び放出端の近くに位置する、光パイプの端部を意味する。他の形状には、円錐状の部分を積層したものが含まれる。
表2は、複数の異なるガラス及びそれらの屈折率が示されている。これらのガラスは、コアの屈折率がクラッドの屈折率よりも大きくなるように、光パイプの製造に用いられ得る。本実施形態のイメージセンサは、着色されたカラーフィルタを用いることなく、様々な屈折率を有する様々な透明なガラスを用いて製造され得る。
導波管として働く光パイプをネストするとともに図2に示されたマイクロレンズ結合器を用いることにより、イメージセンサのアレイは各イメージセンサの光パイプのコア及びクラッドにおけるカットオフ波長で分離された電磁放射の波長を有する補色を得るように構成される。補色とは、一般に、適切な比率で混ぜ合わされたときに中間色(グレー、白、又は黒)を生成する二つの色のことをいう。本構成によって、マイクロレンズに衝突する電磁放射入射ビームの大部分を受容し光パイプの下端に位置する感光性素子(すなわち、フォトダイオード)にガイドすることができる。隣接している又は実質的に隣接している異なる補色を分離するイメージセンサは、本明細書の実施形態に従って、フルカラー環境を再構築するための完全な情報を提供することができる。本明細書の実施形態の技術は、各ピクセルにおける非選択色の廃棄(吸収による)の非効率性の影響を受けるイメージセンサにおける着色ベースの色の再構築に取って代わるものである。
本明細書の実施形態のイメージセンサを備えるデバイスの各物理ピクセルは、補色を表す二つの出力、例えば、出力タイプ1として指定されるシアン(又は赤)と、出力タイプ2として指定される黄(又は青)を有する。
これらの出力は、以下のように配置される。
1 2 1 2 1 2 1 2
1 2 1 2 1 2 1 2…
2 1 2 1 2 1 2 1
2 1 2 1 2 1 2 1…
1 2 1 2 1 2 1 2
1 2 1 2 1 2 1 2…
………………………………...
………………………………...
各物理ピクセルは、二つの補色を組み合わせることによって得られる完全な発光情報(luminance information)を有する。結果として、同一のイメージセンサを、フル解像度のモノクロセンサ又はカラーセンサのいずれかとして用いることができる。
本明細書に開示されているイメージセンサの実施形態においては、従来のベイヤーパターンにおける四つのピクセルとは異なり、水平方向又は垂直方向に隣接する二つのピクセルの適切な組み合わせにより、入射電磁放射ビームの波長のフルスペクトル(例えば、入射光のフルカラー情報)が得られる。
最小トランジスタ寸法に応じて、本明細書の実施形態のイメージセンサに含まれる各ピクセルは、1ミクロン以下の小さなピッチを有するが、依然として十分な感度を有している。これにより、生体系のような極小な接触イメージングへの道筋が開かれる。
本実施形態は、イメージセンサの複数の実施形態及びその製造方法を含んでおり、以下の詳細な説明の文脈に従ってさらに詳細に説明される。詳細な説明は、上述した図面の文脈において理解される。図面は説明のためのものであるため、必ずしも正確な寸法で記載されていない。
複合ピクセルの一実施形態は、二つのピクセルのシステムを含む。各々のピクセルは、異なる径のコアを有している。
これらのコアは、異なる波長 (λB and λR)の光を導くために、d1及びd2の直径を有している。この二つのコアは、フォトダイオードとしても機能し、波長λB 及びλRの光を受容する。この二つのイメージセンサのクラッドは、波長w-B 及びw-R.の光を伝達する。クラッドを通って伝達される波長λW-B 及びλW-R の光は、コアを取り囲む周辺感光性素子によって検出される。ここで、(w)は、白色光の波長を示す。複合ピクセルにおける四つのフォトダイオード(二つコアに配置され、残りの二つは当該コアを取り囲む基板上又は基板内に配置される)からの信号は、色を構成するために用いられる。
本実施形態は、ナノ構造を有するフォトダイオード(PD)を含む。本実施形態においては、フォトダイオードは、基板と、当該基板から突き出る直立したナノワイヤを含む。光検出用のアクティブ領域を提供するPN接合は、当該構造に存在する。ナノワイヤ、ナノワイヤの一部分、又は、ナノワイヤに関連する構造は、デバイスに衝突した光の少なくとも一部分を検出しガイドする導波管を形成する。
また、導波管は、衝突光の色のレンジを決定することができるスペクトルフィルタとしても機能する。本実施形態の光パイプの導波管特性は、様々な方法で改良され得る。波管コアは、第1の実効屈折率n1 (以下ではnw とも称される。)を有する。導波管の少なくとも一部を囲むクラッドの材料は、第2の実効屈折率n2(n
ともも称される。)を有する。第1の屈折率が第2の屈折率よりも大きい、つまりn1> n2と仮定することにより、良好な波ガイド特性が光パイプに提供される。導波管特性は、導波管コアに光学的にアクティブなクラッドレイヤを導入することにより、さらに改善され得る。ナノワイヤコアは、導波管として用いられ、ナノ構造を有し、アクティブキャパシタでもあるPDとしても用いられる。本実施形態のナノ構造を有するPDは、大量生産に適しており、説明される方法は、工業用途に拡張可能である。
ナノワイヤ技術は、従来のバルクレイヤ技術では不可能であった材料の選択及び材料の組み合わせにおける可能性を提供する。これは、ナノ構造を有する本実施形態のPDにおいて用いられ、従来技術では不可能であった良好に確定された波長領域、例えば、青、シアン、又は白、において光を検出するPDを提供する。
本実施形態の構成によれば、ナノワイヤ中にヘテロ構造及び異なるドーピングの領域の含有を可能にし、電気的及び/又は光学的特性の最適化を促進する。本実施形態のナノ構造を有するPDは、直立したナノワイヤを備える。本出願においては、直立したナノワイヤは、基板から所定の角度で突出しているナノワイヤとして解釈されるべきである。直立したナノワイヤは、例えば、基板から成長することができる。ナノワイヤは、好ましくは、VLS法で成長させることができる。
基板との角度は、典型的には、基板及びナノワイヤの材料、基板表面、及び成長条件によって決まる。これらのパラメータを制御することにより、ナノワイヤを一方向例えば垂直方向にのみ突出させることができ、限られた方向に突出させることもできる。例えば、ナノワイヤ及びせん亜鉛鉱及びダイヤモンド半導体の基板は、周期表の第3族、第4族及び第5族の元素から成る。かかるナノワイヤは{111}方向に成長し、次に基板表面の任意の{111}方向に向かう通常の方向に成長することができる。表面と垂直な方向とナノワイヤの軸方向との間の角度として与えられる方向には、70,53°傾斜した {111}方向、54,73°傾斜した {100}方向、および35,27°及び 90°傾斜した{110}方向が含まれる。このように、ナノワイヤは、一つの又は限定された組の方向を規定する。
本実施形態によれば、ナノワイヤの一部又はナノワイヤから形成された構造が導波管として用いられる。当該導波管は、直立したナノワイヤによって与えられる方向においてナノ構造を有するPDに衝突する光の少なくとも一部をガイドするとともに閉じ込める。理想的な波をガイドするナノ構造を有するPD構造は、高屈折率のコアと、当該コアよりも小さい屈折率を有し周囲に配置された一又は複数のクラッドを有する。この構造は、円形の対称構造であってもよいし、円形の対称構造に類似する構造でもよい。円形の対称構造における光導波管は、光ファイバー用とにおいて広く知られており、多くの類似の内容が、レアアースでドープされた光ファイバーデバイスについてなされ得る。しかしながら、相違点の一つは、ファイバー増幅器は、伝送する光を増強するための光学的ポンプであるが、本明細書で説明するナノ構造を有するPDは、電力コンバーターへの効率的な光と見られ得る点にある。よく知られた性能指数の一つにいわゆる開口数(NA)がある。NAは、導波管によって受容される光の角度を決定する。NA及び受容された光の角度は、新規のPD構造を最適化するために重要なパラメータである。
GaAsを用いて赤外光又はそれ以上で動作するPDは良好であるが、可視光領域で動作するPDにとっては、シリコンが望ましい。例えば、回路を作成するためには、Si及びドープされたSi材料が望ましい。同様に、可視光領域において動作するPDにとっては、Siを用いることが望ましい。
一実施形態において、屈折率が1.4〜2.3の範囲ガラス系のクラッド材料(例えば、SiO2又はSi3N4)と組み合わされた場合には、第3族から第5族の半導体の典型的な屈折率は2.5〜5.5の範囲にある。取り込み時の角度が大きいことは、大きな角度で衝突した光が導波管と結合し、取り込み効率が改善され得ることを意味する。
光取り込みの最適化に関する一つの対策は、構造中への光取り込みを最適化する結合器をナノワイヤ構造にに提供することである。一般には、光を受容するときに、NAが最も大きな値を持つことが望ましい。これにより、取り込まれてPDに導かれる光が最大化される。
本実施形態のナノ構造を有するPDは、図2において模式的に示されている。当該PDは、基板及び当該基板から定義された角度θでエピタキシャルに成長したナノワイヤを備える。ナノワイヤの一部分又は全部は、衝突光の少なくとも一部をナノワイヤの延伸方向で与えられる方向に導く導波部分として動作するように構成され、導波管と称される。一実施態様においては、ダイオードの機能に必要なPN接合は、成長中に長さ方向に沿ってワイヤのドーピングを変化させることにより形成される。ナノワイヤには二つの接点が設けられ得る。例えば、上面又は外表面周囲のラッピング構造(図示した)中に一つの接点が設けられ、他方の接点は基板に設けられる。基板及び直立構造の一部は、カバーレイヤによって被覆され得る。例えば、図示のように薄膜として、又は、ナノ構造を有するPDを囲む空間を埋める物質として設けられる。
ナノワイヤは、典型的には、50nm〜500nmのオーダーの径を有する。ナノワイヤの長さは、典型的には及び好ましくは、1μm〜10μmのオーダーである。PN接合は、ナノワイヤに配置されたアクティブ領域となる。ナノワイヤにおける衝突光子は、電子正孔対に変換され、一実施態様においては、その後PN接合によってナノワイヤの長さ方向に沿って生成された電場によって分離される。構造を有するPDの異なる部材の材料は、ナノワイヤが周囲の材料に関して良好な導波管特性を有するように選択される。すなわち、ナノワイヤにおける材料の屈折率は、周囲の物質の屈折率よりも大きいことが望ましい。
また、ナノワイヤは、一又は複数のレイヤを備えることができる。第1のレイヤは、ナノワイヤの表面特性(すなわち、電荷漏洩の減少)を改善するために導入され得る。他のレイヤ、例えば、光学レイヤは、光ファイバの分野において確率された方法と類似の方法によって、特にナノワイヤの導波管特性を改善するために導入され得る。光学レイヤは、典型的には、ナノワイヤの屈折率と周囲のクラッド領域の材料の屈折率の間にある屈折率を有する。または、中間レイヤは、段階的な屈折率を有する。このような屈折率は、一部の場合における光伝送を改善するために採用される。光学レイヤが用いられる場合には、ナノワイヤの屈折率nwは、ナノワイヤ及び各レイヤの両方について実効屈折率を定義すべきである。
上述のようにまた以下に例示するように、ナノワイヤを限定された方向に成長させる能力は、一実施形態において、ナノワイヤの導波管特性を最適化するために用いられる。または、少なくともナノ構造を有するPDによって閉じ込められ変換される光の波長について導波管の導波管特性を最適化するために用いられる。
本実施形態においては、ナノワイヤの径は、所望の光の波長と望ましく対応するように選択される。好ましくは、ナノワイヤの寸法は、生成光の特定の波長に最適化された均一な光学的キャビティがナノワイヤに沿って提供されるように、定められる。コアナノワイヤは、所望の光を取り込むことができる程度に幅広でなければならない。経験則によれば、径は、λ/2nwよりも大きくなければならない。ここで、λは、所望の光の波長であり、nwはナノワイヤの屈折率である。一例として、シリコンナノワイヤに限られるが、青色光のみを閉じ込めるには約60nmの径が適切であり、青色光及び緑色光を閉じ込めるには約60nmの径が適切である。
赤外光及び近赤外光においては、約100nm以上の径であれば十分である。ナノワイヤの径の大まかな上限は、成長の抑制によって決まり、500nmのオーダーである。ナノワイヤの長さは、典型的には及び好ましくは、 1μm〜 10μmのオーダーであるが、光変換領域には十分な体積が必要である。
一実施形態においては、反射レイヤは、基板上に設けられ、ワイヤの下を伸びる。反射レイヤの目的は、ワイヤによって反射されずに案内されれ、ナノ構造を有するPDにおいてキャリアに変換された光を反射することである。反射レイヤは、好ましくは、例えばケイ酸塩又は金属薄膜が繰り返し積層されたレイヤを含む積層構造に形成される。ナノワイヤの径が光の波長よりも十分に小さい場合には、案内された光のモードの多くの部分が導波管外部に広がり、当該狭いナノワイヤ導波管を囲む反射レイヤによる効率的な反射が可能となる。
導波管コアの下端における反射を得るための別のアップローチは、反射レイヤをナノワイヤの下にある基板に配置することである。さらに他のアプローチは、導波管に反射手段を導入することである。かかる反射手段は、ナノワイヤの成長プロセスにおいて提供される積層構造を有することができる。当該積層構造は、例えばSiNx/SiOx(誘電体)の繰り返し現れるレイヤを含む。
説明したナノワイヤの成長方法において実現される上述した円柱状の体積要素は、例示的な形状である。それ以外の可能な形状には、ドーム型の上部を有する円柱状バルブ形状、球形状、楕円形状、及びピラミッド形状が含まれるがこれらには限られない。
光検出器に必要なPN接合を形成するために、好ましくは、ナノ構造の少なくとも一部がドープされる。このようなPN接合の形成は、ナノワイヤの成長中にドーパントを変更すること、又は、成長したナノワイヤに径方向に浅いインプラントを用いた方法を使用することによって、実現される。VLS法で成長したナノワイヤのように、ナノワイヤの成長が基板によって局所的に強化される系においては、成長条件の変更によって径方向と軸方向との間で成長を変更できる場合には、処理(ナノワイヤ成長、マスク形成、及び選択的成長)を繰り返し行って、より高次のオーダーのナノワイヤ/3次元配列を形成することができる。ナノワイヤの成長及び選択的成長が選択的な成長条件によって区別されない系については、まずナノワイヤを長さ方向に成長させ、これとは異なる選択的成長工程によって異なる3次元領域を成長させることが望ましい。Siから形成されたアクティブなナノワイヤ領域を有する光検出pnダイオード/アレイを製造するために、本実施形態の製造方法は、以下の工程を含む。
1.リソグラフィによりシリコン基板における局所的な触媒を決定する工程。
2.局所的な触媒からシリコンナノワイヤを成長させる工程。成長パラメータは、触媒によるワイヤ成長のために調整される。
3.他の半導体、パッシベーション、又はナノワイヤの周囲の絶縁体薄膜もしくは金属薄膜(クラッドレイヤ)の径方向の成長。
4.基板及びCMOS回路における他の金属レイヤへの接点をPDナノワイヤ上に形成。
成長処理は、例えばナノワイヤにヘテロ構造を含めること、反射レイヤを設けることなどの公知の方法により変更可能である。
ナノ構造を有するPDの用途に応じて、適切な製造プロセスが利用できるか否か、材料コスト等が決められる。幅広い材料が当該構造の様々な部分に用いられ得る。また、ナノワイヤベースの技術によって、今までは不可能だった材料の自由な組み合わせが可能となる。第3族から第5族の半導体は、その高速及び低消費電力の電子機器を可能にする特性により、特に重要である。基板用に好適な材料には、以下のものが含まれるが、それらに限定されるものではない。Si, GaAs, GaP, GaP:Zn, GaAs, InAs, InP, GaN, Al2O3, SiC, Ge, GaSb,
ZnO, InSb, SOI (silicon-on-insulator) , CdS, ZnSe, 及びCdTe。ナノワイヤ110用に好適な材料には、以下のものが含まれるが、それらに限定されるものではない。Si, GaAs (p), InAs, Ge, ZnO, InN, GaInN, GaN AlGaInN, BN, InP,
InAsP, GaInP, InGaP:Si, InGaP:Zn, GaInAs, lInP, GaAlInP, GaAlInAsP, GaInSb,及びInSb。利用できるドナードーパントには、例えば、GaP, Te, Se, S等があり、同一材料に対するアクセプタドーパントには、Zn, Fe, Mg, Be, Cd等がある。ナノワイヤ技術によってSiN, GaN, InN及びAlN等の窒化物が利用可能になっている。これらの窒化物によって、従来技術によってはアクセスが困難だった波長領域においても光を検出するPDの作製が促進される。
これら以外の商業的に重要な組み合わせには、GaAs, GaInP, GaAlInP, 及びGaP系があるが、これらは限定的なものではない。型的なドーピングレベルは、1018〜1020である。当業者であれば、本明細書で述べた又はそれ以外の材料に精通しており、他の材料及び他の材料の組み合わせも可能であることを理解できる。
低抵抗の接点材料の適切さは、その上に堆積される材料に依存するが、Al, Al-Si, TiSi2, TiN, W, MoSi2, PtSi, CoSi2, WSi2, In, AuGa, AuSb,
AuGe, PdGe, Ti/Pt/Au, Ti/Al/Ti/Au, Pd/Au, 及びITO (InSnO)等の金属、金属合金、及び非金属化合物、並びにこれらの組み合わせ(例えば、金属とITOとの組み合わせ)を用いることができる。
基板は、ナノワイヤによって閉じ込められない光の検出に必要なフォトダイオードも備えるので、デバイスの統合部分である。また、基板は、標準的なCMOS回路を備え、バイアス電圧の印加、増幅、及びPDからの読み出しの制御を行い、また、必要で便利と思われるこれら以外のCMOS回路の機能を備える。基板は、能動デバイスを備えた基板を含んでもよい。基板に好適な材料には、シリコン及びシリコン含有材料が含まれる。一般に、本実施形態の各センサ素子は、ナノワイヤ、当該ナノワイヤの少なくとも一部を収容するクラッド、結合器、及び二つの接点を含むナノ構造を有するPD構造を含む。
シリコン基板上へのナノ構造を有するPDの製造は、ナノワイヤが基板に垂直な(111)方向に一様に整列しており、基板から延びる3つの傾いた(111)方向に成長しているナノワイヤが存在していない場合に可能である。シリコン基板上のあらかじめ定められたアレイ構造における第3族から第5族のナノワイヤの整列した成長は、光学デバイスを大規模生産する際に他の用途におけるのと同様に好ましい。
シリコンナノワイヤに形成されたPDデバイスは、他の材料の組み合わせでは実現できない選択された波長の光を検出できるので、商業的に非常に重要である。
また、これにより、イメージセンサに衝突する光の大部分の検出を可能にする複合フォトダイオードの構成が可能となる。
本明細書のイメージセンサの製造方法について、添付図面を参照して、以下の実施例において詳述する。
実施例1
ナノワイヤの周囲のキャパシタ
実施例1の実施形態は、コア及びクラッドを備える光パイプの製造方法に関する。コアは、半導体ナノワイヤ、絶縁体、金属の三つのレイヤから成り、これによりナノワイヤにおいて光誘起キャリアによって生成された電荷を集めるキャパシタを形成する。
蓄積された電荷を制御及び検出するために、金属及び半導体ナノワイヤに対する接点が形成される。実施例1の実施形態のコアは、導波管及びフォトダイオードとして機能する。実施例1の実施形態のクラッドは光学センサのシリコン基板の中又はその上に配置された、周辺導波管及び周辺フォトダイオードを備える。
光学センサのピクセルの製造は、図3−1〜図3−23に示されている。図3−1は、基板に光学デバイスを設けた集積回路(IC)を示す。当該光学デバイスには、周辺フォトダイオードを含む。図3−1のICは、能動デバイス、周辺フォトダイオード、メタライゼーションレイヤ及び金属間絶縁レイヤを含むスタックレイヤ、及びパッシベーションレイヤを備えたシリコン・ウエハー基板を含む。スタックレイヤの厚さは一般に約10µmである。平坦化堆積技術を用いた図3−1のICの製造方法は、当業者に周知である。図3−1のICは、実施例1の実施形態の製造の開始地点となる。
図3−1に示すICから出発し、実施例1の実施形態の製造工程は、以下のとおりとなる。約2µmの厚さのフォトレジストを1対10のエッチング比で適用する(図3−3)。
当該フォトレジストを、紫外光に露出させ、フォトレジストを現像してポストベーキングする。そして、当該フォトレジストをエッチングして周辺フォトダイオードの上に開口部を形成する(図3−4)。
スタックレイヤにおける周辺フォトダイオードの上の誘電体レイヤを反応性イオン・エッチング(RIE)によりエッチングして、スタックレイヤに深いキャビティを形成する。ここで、深いキャビティは、シリコン・ウエハー基板に設けられた周辺フォトダイオードまで延伸する(図3−5)。
フォトレジストをスタックレイヤの上から除去する(図3−6)。銅等の金属を深いキャビティの垂直壁に堆積させる(図3−7)。電子ビームレジストをスタックレイヤの上面及び深いキャビティの垂直壁の金属レイヤに塗布する(図3−8)。
周辺ダイオードにあるシリコン含有スポットにおいて電子ビームレジストを除去し、電子ビームレジスト シリコン含有スポットに位置する電子ビームレジストに開口部を形成する(図3−9)。電子ビームレジストの表面及び電子ビームレジストの開口部にスパッタリングにより金レイヤを設け、又は金を蒸着させる(図3−10)。電子ビームフォトレジスト及び金を持ち上げて金粒子を形成し、これにより金粒子を電子ビームレジストの開口部に残す(図3−11)。
深いキャビティに残される金粒子の厚さ及び径がナノワイヤの径を決定することに留意されたい。プラズマ強化VLS成長法によりシリコンナノワイヤを成長させる(図3−12)。一部の実施形態では、シリコンナノワイヤ(SiNW)は、vapor-liquid-solid (VLS)成長法を用いて成長させる。この方法では、金属液滴が触媒として、Siを含む原料ガスの分解を引き起こす。ガスからのシリコン原子が、液滴の中に溶け込み共晶液(eutectic liquid)を形成する。共晶液は、Siリザーバーとして機能する。より多くのシリコン原子が溶液の中に溶け込むと、共晶液はシリコンで過飽和状態となり、最終的にSi原子が析出(precipitation)する。典型的には、Siは滴の底部から外へ析出し、上部に金属触媒滴を伴うSiナノワイヤのボトムアップ成長をもたらす。
一部の実施形態では、金がシリコンナノワイヤの成長のための金属触媒として用いられる。これ以外の金属、例えばAl、GA、In、Pt、Pd、Cu、Ni、Agやこれらの組み合わせを用いることもできる。の金は、例えば、スパッタリング、化学蒸着(CVD)、プラズマCVD(PECVD)、蒸着等の従来のCMOS技術を用いて、シリコン・ウエハー上に堆積され、パターン形成され得る。
パターン形成は、例えば、光学リソグラフィ、電子ビームリソグラフィ、又はこれら以外に任意の好適な技術を用いて実行され得る。シリコン・ウエハーは、その後加熱され、シリコン・ウエハー上で金を液滴化させることができる。シリコンと金とは、19%のAuで融点が363℃である共晶を形成する。即ち、Si−Au共晶の液滴は、シリコンデバイスの加工にふさわしい適度な温度である363℃で形成する。
一部の実施形態では、基板は(111)配向を有する。しかしながら、他の配向((100)配向を含み、但しこれに限定されない)を用いても良い。ナノワイヤ生成のための普通のシリコン原料ガスは、SiHである。しかしながら、他のガス(SiClを含み、但しこれに限定されない)を用いても良い。ある実施形態では、ナノワイヤ成長は、例えば、圧力は80−400mTorr、温度は450−600℃の範囲で、SiHを用いて行う。ある実施形態では、温度は470−540℃の範囲内である。典型的には、SiH4の分圧が低いほど、垂直ナノワイヤの高い割合での生成をもたらす。例えば、80mTorrの分圧と470℃においては、Siナノワイヤの60%までもが垂直<111>方向に成長する。ある実施形態では、ほぼ円筒形であるナノワイヤが成長するかもしれない。他の実施形態では、ナノワイヤは六方晶系である。
一実施形態では、ナノワイヤ成長は、高温壁低圧・化学蒸着(CVD)装置を用いて行われる。アセトンとイソプロパノールを用いてシリコン基板をクリーニングした後に、自然酸化物を除去するためにサンプルをHF緩衝溶液に浸す。薄く連続したGaおよびAuの金属層(通常は厚さ1−4nm)は、熱蒸着によって基板上に堆積させることもできる。一般に、Ga層はAu層より先に堆積される。
実施形態では、CVDチャンバを排気しておよそ10−7torrまで減圧した後で、金属液滴を形成するために真空内で基板を600℃まで熱する。Siナノワイヤは、例えば、全圧が3ミリバール(mbar)で、流量100sccmのSiHを用いて、温度が500℃から700℃までの温度範囲で成長させることができる。
Au−Ga触媒を用いて成長させたSiナノワイヤの大きさおよび長さは、比較的均質であり、多くのワイヤは4つの<111>方向(the four <111> directions)に沿った配向性となる。比較のため、純金を触媒として成長するSiナノワイヤは、核となり(nucleate)、よりランダムに分布する長さおよび直径で成長する。さらに、Au−Ga触媒を用いて成長させたナノワイヤは、軸方向に沿って先細りする傾向がある。長時間かけて成長したナノワイヤの先端径は、短時間で成長したものと同じであり、ナノワイヤの先端径は触媒の直径によって決まる。しかしながら、ナノワイヤの占有領域(footprint)は、成長の過程で増大する傾向にある。このことは、ナノワイヤの先細りが主にシリコンの側壁の堆積(放射状の成長)により生じることを示している。ナノワイヤは、最下部(基部)での直径が1500nmで成長することもあるが、先端の直径が70nmより小さく長さが15μmを超えることもある。さらに、ナノワイヤの直径は成長温度と相関関係がある。成長温度が高いほど、より小さい直径のナノワイヤとなる。例えば、Ga/Au触媒を用いて600℃で成長したナノワイヤの平均的直径は約60nmであるが、500℃では、平均的直径は約30nmまで縮小する。
加えて、堆積温度が下げられるほど、直径のばらつきが狭まる傾向にある。
VLSプロセスを用いることにより、垂直NWを成長させることができる。即ち、基板面に対してほぼ垂直なナノワイヤである。一般に、全てのナノワイヤが完全に垂直となるわけではない。即ち、ナノワイヤは面に対して90度以外の角度で傾くかもしれない。一般に観察される傾いたナノワイヤは、3つの70.5°だけ傾斜した<111>エピタキシャル成長方向、および、3つの更なる70.5°傾斜方向を含むが、これらに限定されない。この70.5°傾斜方向は、60°ずつ回転する。
垂直ナノワイヤの成長に加え、VLSプロセスはドープトナノワイヤ(doped NWs)の成長に用いても良い。実際、原料ガスの組成を変更することにより、成長するワイヤにおけるドーピングプロファイルを生成することができる。
例えば、ナノワイヤは、ジボラン(B)またはトリメチルボラン(TMB)を原料ガスに加えることにより、p型となる。シリコンナノワイヤに対してアクセプター原子を追加するその他のガスを用いても良い。ナノワイヤは、原料ガスにPH3またはAsH3を加えることにより、n型とすることができる。
シリコンナノワイヤに対してドナー原子を追加するその他のガスを用いても良い。
生成することができるドーピングプロファイルは、n−p−n、p−n−p、およびp−i−nが含まれるが、これらに限定されない。
さらに、他の方法またはVLS法のバリエーションをナノワイヤの成長のために用いても良い。他の方法またはバリエーションとしては、(1)CVD、(2)反応性雰囲気(reactive atmosphere)、(3)蒸着、(4)分子線エピタキシー法(MBE)、(5)レーザーアブレーション(laser ablation)、及び(6)溶液成長法を含むが、これらに限定されない。CVDプロセスにおいては、揮発性ガス状シリコン前駆体が提供される。シリコン前駆体ガスの例としては、SiHおよびSiClが含まれる。CVDは、エピタキシャル成長のために用いても良い。さらに、ドーピングは、シリコン前駆体に対して揮発性ドーピング前駆体を加えることにより完成させることができる。反応性雰囲気におけるアニーリング(annealing)は、基板に反応するガス内での基板の加熱処理を含む。例えば、もしシリコンが水素を含む雰囲気中でアニールされると、水素が局所的にシリコン基板と反応し、SiHを生成する。SiHはその後、触媒である金属滴と反応し、これによりナノワイヤ成長を開始させることができる。この成長プロセスは、非CMOSプロセスに用いることができる。
蒸着法では、SiOソースはSiOガスの生成をもたらす条件下で加熱される。SiOガスが金属触媒液滴に吸着すると、SiおよびSiO2が生成される。この方法は、金属触媒滴を用いずに実行するものとしてもよい。金属触媒なしで、SiOがシリコンナノワイヤの成長に対して触媒作用を及ぼすことが観察されている。MBE法では、シリコン原子が蒸発するまで、高純度のシリコンソースが加熱される。ガス状シリコンビームが基板に対して向けられる。ガス状シリコン原子は、金属液滴に吸着すると共に溶け込み、これによりナノワイヤ成長を開始させる。
レーザーアブレーション法では、レーザービームがシリコンと触媒原子の両方を含む原料に向けられる。アブレートされた原子は、不活性ガス分子との衝突によって冷却され、元々のターゲットと同じ組成物を含む液滴を形成するために凝縮する。即ち、液滴はシリコンと触媒原子の両方を含む。レーザーアブレーション法は、純粋なシリコンで本質的に構成されるターゲットを用いて実行しても良い。溶液を基礎とする技術は一般に有機流体を用いる。
具体的には、有機流体はシリコン原料および触媒粒子が豊富であって、高圧力調整された超臨界有機流体により一般に構成される。金属−シリコン共晶の上の反応温度で、シリコン前駆体が分解し、金属と共に合金を形成する。過飽和上では、シリコンは外部に析出し、ナノワイヤを成長させる。
上述のナノワイヤ成長技術は全てボトムアップ技術である。しかしながら、ナノワイヤはトップダウン技術によって製造するものとしてもよい。トップダウン技術は、一般にパターニングおよび適切な基板(例えば、シリコン)のエッチングを伴う。パターニングはリソグラフィー、例えば、電子線リソグラフィー、ナノ球リソグラフィー、及びナノプリントリソグラフィー、により行うことができる。エッチングはドライまたはウェットのいずれかにより実行するものとしてもよい。ドライエッチング技術は、反応性イオンエッチングを含むが、これに限定されない。ウェットエッチングは、標準的なエッチングにより、または、金属支援エッチング(metal-assisted etching)プロセスを介して行っても良い。金属支援エッチングプロセスにおいて、Siは、湿式化学的にエッチングされ、エッチング液に塩として加えられる貴金属の存在により触媒作用を及ぼされてSi分解反応を伴う。
本明細書の実施形態のシリコンナノワイヤは、いかに示すようにして作製される。二酸化ケイ素の表面を有するシリコンを含む基板が準備される。金ナノ粒子の吸収を促進するために、基板表面に表面処理を行い、表面が改質される。改質された表面に対して、金レイヤを堆積させることによって金ナノ粒子が形成される(図3−10)。次に、金ナノ粒子の所望の場所以外の領域から金レイヤを除去する(図3−11)。金ナノ粒子は、立体安定化のために、表面処理されてもよい。換言すれば、繋留され(tethered)立体安定化された金ナノ粒子は、ナノワイヤの合成のシードとして利用され得る。金ナノ粒子は、改質されたシリコン基板に吸収される。ジフェニルシランの分解(DPS)によって、シリコン原子が形成される。シリコン原子は、図3−11に示すICのスタックレイヤの深いキャビティに導入される。シリコン原子は金ナノ粒子に付着し、金ナノ粒子がシリコン原子とともに飽和すると、シリコンナノワイヤが金ナノ粒子シードから結晶化する(図3−12)。
化学蒸着(CVD)、アトミックレイヤーデポジション(ALD)、酸化、及びニトロ化等によって絶縁保護被膜を形成する(図3−13)。プラズマCVD、スピンオンコーティング、スパッタリング等によって、ドープガラスを堆積させる(図3−14)。アトミックレイヤーデポジションを用いることもできる。化学機械平坦化又はこれ以外のエッチング法によって、堆積したドープガラスをエッチングする(図3−15)。
図3−16〜図3−23は、ナノワイヤ導波管へ光等の電磁放射を案内するファンネル及び当該ファンネルに設けられるレンズのの生成に関する。この工程は以下の通りである。ガラス/酸化物/誘電体レイヤを、CVD、スパッタリング、又はスピンオンコーティングにより堆積させる(図3−16)。堆積されたガラス/酸化物/誘電体レイヤにフォトレジストを塗布する(図3−17)。深いキャビティ内にナノワイヤが設けられた開口部の外側のフォトレジストを除去する(図3−18)。ガラス/酸化物/誘電体レイヤに、準等方性エッチングにより結合器を形成する(図3−19)。
実施例2
ナノワイヤ内のPIN又はPNフォトダイオード
実施例2実施形態1の実施形態は、コア及びクラッドを備える光パイプの製造方法に関する。
コアは、コアワイヤ内にポテンシャル勾配を誘起するPN結合又はPIN接合を備える。コアにおけるPN又はPIN接合は、ナノワイヤを成長させ、PIN接合として成長しているときにナノワイヤコアをドーピングすることによって形成される。例えば、ナノワイヤのドーピングには、N及びPを形成するためのドーピングの二つのレベルがある。または、他の実施形態においては、ナノワイヤは、P、I、及びN領域を含み、PINフォトダイオードを形成する。さらに他の可能性は、ワイヤをその長さ方向に沿って同心円状にドーピングし、P及びN又はP、I、及びN領域を形成して、PN又はPINフォトダイオードを形成することである。PN又はPIN接合ナノワイヤ(PN又はPINフォトダイオードとも称される。)は、PN又はPIN接合ナノワイヤに沿った適切な位置において、PN又はPIN接合ナノワイヤにおいて光誘起キャリアによって生成された電荷を検出する任意のデバイスの一部分である様々な金属レイヤを用いて接触される。
実施例2の実施形態のクラッドは光学センサのシリコン基板の中又はその上に配置された、周辺導波管及び周辺フォトダイオードを備える。
実施例2の実施形態の製造方法は、実施例1の実施形態の製造方法と多くの側面で類似している。説明を簡潔にするために、実施例2の実施形態の製造方法は、図3−1〜図3−19を参照して説明される。

実施例1の図3−1〜図3−6に示されている工程が実行される。実施例1の図3−7に示されている金属を垂直キャビティ壁に堆積させる工程は省略される。続いて、実施例1の図3-8〜図3-11に示されている工程が実行される。
次に、実施例1のナノワイヤの成長工程が変更の上実行される。金ナノ粒子を触媒として用いることによりナノワイヤを結晶化する方法は、実施例1と類似している。しかしながら、実施例1においては、図3−12に示されている工程で成長するナノワイヤは、ナノワイヤ全体を通じて実質的に同じ材料から構成されている。一方、実施例2においては、図3−12におけるナノワイヤの成長は、二以上の異なるドープ領域を有するナノワイヤを成長させ、Nドープ(nドープ)されたナノワイヤを成長させ、続いてPドープ(pドープ)されたナノワイヤを成長させてPN フォトダイオード(図4)をることによって、又は、最初にNドープされた(nドープされた)ナノワイヤを成長させ、続いてIドープされたナノワイヤ(ナノワイヤのI領域と称することもある。)を成長させ、最後にpドープされたナノワイヤを成長させてPINフォトダイオード(図5)を形成することによって置き換えられる。ナノワイヤのドーピングは、本件の技術分野において周知の方法によって実行される。図4及び図5において、ナノワイヤ上の金は、ビーズ形状、半ビーズ形状、又は実質的に平坦なレイヤとして形成され得る。
実施例1の図3−13に示されている絶縁保護被膜の堆積工程は省略される。最後に、実施例1の図3-14〜図3-19に示されている工程が実行される。他の実施形態実施形態においては、図6に示すように、単一の深いキャビティないに複数のナノワイヤが存在し得る。このとき、底部には、ナノワイヤアレイが設けられているシリコン基板がある。ナノワイヤの上方には、楕円形で示された結合器があり、その上方には光が当該結合器に入射する際に通過する箱状に示された領域が存在する。
イメージセンサの本実施形態による色及び発光の認識は、色認識によって実行され得る。各結合ピクセルは、二つの補色出力を組み合わせることによって得られる完全な発光情報(luminance information)を有する。結果として、同一のイメージセンサを、フル解像度のモノクロセンサ又はカラーセンサのいずれかとして用いることができる。
色の再構築は、二つの隣接するセルの適切な組み合わせによって、フルカラー情報を得るために実行され得る。この二つの隣接するセルの組み合わせは、垂直方向であれ水平方向であれ、複合ピクセルの一実施形態である。色情報を得るために必要な寸法は、ベイヤーパターンの四つのピクセルと異なり、二つのピクセルの寸法以下である。
本明細書の実施形態のイメージセンサを備えるデバイスの各物理ピクセルは、図7に示されるように、補色を表す二つの出力、例えば、出力タイプ1として指定されるシアン、赤(C、R)と、出力タイプ2として指定される黄、青(Y、B)を有する。結合ピクセルのうちの二つのピクセルのこれらの四つの出力は、本明細書の実施形態に係るイメージセンサを備えるデバイスによって視認される像のフルカラー環境を再構築するために解析される。
一実施形態において、ナノワイヤフォトダイオードセンサは、一又は複数の垂直フォトゲートを備える。この垂直フォトゲートによって、複雑なイオン導入を使用することなく、半導体におけるポテンシャルプロファイルを容易に変更及び制御することができる。従来のフォトゲートピクセルでは、非常に不十分な量子効率および不十分な青色応答(blue response)に悩まされる。従来のフォトゲートは、通常、青色に近い短い波長を吸収するポリシリコンで作られ、そこでフォトダイオードに到達する青色光を低減する。また、従来のフォトゲートのピクセルは、フォトダイオードの上面に載置されている。対照的に、垂直フォトゲート(VPG)構造は光路をブロックしない。これは、垂直フォトゲート(VPG)は半導体内のポテンシャルプロファイルをコントロールするためにフォトダイオードを横切って置かれないからである。
さらに、イメージセンサのピクセルサイズが小さくなるほど、イメージセンサの口径サイズは、波長に匹敵するようになる。従来のプレナ型フォトダイオードにとって、これは不十分な量子効率(QE)をもたらす。しかしながら、VPG構造のナノワイヤセンサとの組合せは、良好な量子効率での超小型ピクセルを可能とする。
図8は、デュアル垂直フォトゲート構造を有するナノワイヤピクセルの実施形態を示す。本実施形態は、二つのフォトダイオード、ナノワイヤフォトダイオードと基板フォトダイオードとを含む。この実施形態は、二つのフォトゲート(VPGate1、VPGate2)、トランスファーゲート(TX)およびリセットゲート(RG)をも含む。好ましくは、両方のフォトダイオードは軽度にドープされる。これは、軽度にドープされた領域は、低いバイアス電圧により容易に空乏化させることができるからである。図示するように、両方のフォトダイオードはn−である。しかしながら、代替的に、両方のフォトダイオードがp−となるようにナノワイヤピクセルを設定することができる。
基板フォトダイオードの表面領域には、製造時に発生する製造工程損傷及びナノワイヤに関連する格子ストレスに起因する欠陥が発生しやすい。これらの欠陥は、暗電流の原因となる。n−フォトダイオードの表面における暗電流を低減するために、好ましくは、p+領域が基板内のn−フォトダイオードの上部に製造される。好ましくは、基板はグランド、即ち0電圧に接続する。この実施形態では、リセットゲートは好ましくはn+でドープされ、正バイアスとなる。トランスファーゲートTXおよびリセットゲートがオンのとき、基板内のn−領域は正バイアスとなる。これにより、リセットオペレーションはp基板とn−領域の間の逆バイアスに起因してn−領域を空乏化する。トランスファーゲートTXおよびリセットゲートRGがオフのとき、n−領域は自身の正バイアスを保ち、p−sub領域に関してフローティングキャパシタを形成する。
第一の垂直フォトゲートVPGate1は、ナノワイヤフォトダイオードおよび基板フォトダイオードの間に電位差を形成するために、ナノワイヤ内の電位をコントロールするよう構成される。このように、ナノワイヤ内の電子は、読み出しの間、基板のn−領域に素早くたどりつくことができる。第2のフォトゲートVP Gate-2は、オン/オフスイッチである。このスイッチは、基板フォトダイオード内に統合される信号電荷から、ナノワイヤ内で生成される信号電荷を分離するよう構成する。光電荷は、ナノワイヤフォトダイオード及び基板フォトダイオードのいずれにおいても同時に、しかし、第2のフォトゲートVP Gate-2のオフ状態はその間にポテンシャル障壁を形成するため、異なるポテンシャルウェルで統合される。このように、ナノワイヤおよび基板フォトダイオードは一緒に混合されない。
本実施形態のナノワイヤフォトセンサは、ナノワイヤと基板フォトダイオードの間の信号を分離して読み出すために二段階プロセスを用いる。最初のステップでは、基板フォトダイオード内の信号電荷が読み出される。それから、基板内のn−領域を空乏化する。二番目のステップでは、二番目のフォトゲートVPGate2がまずオンされる。次に、ナノワイヤ内の信号電荷が読み出される。「スナップショット」オペレーションでは、好ましくは、ピクセル配列内の全ての第2のフォトゲートVPGate2が同時にオンまたはオフされる。トランスファーゲートTXに対しても同じことが言える。これを達成するために、二番目のフォトゲートVPGate2は全て、グローバルコネクションに接続する。さらに、全てのトランスファーゲートTXは二番目のグローバルコネクションに接続する。
一般的に、リセットゲートRGのグローバルオペレーションは、実践的な理由で避けるべきである。ピクセル配列内では、全体的に一行ずつ配列をリセットすることが一般的なやり方である。すなわち、全体のピクセルアレイは同時にはリセットされない。もし、スナップショットオペレーションを使わなければ、個別ピクセルオペレーションが可能である。この場合、グローバルコネクションを持つ必要がない。
図9aは、図に示したフォトダイオードセンサの簡略化された断面を示す。負のバイアス電圧が第1の垂直フォトゲートに印加された場合には、ナノワイヤを横切るポテンシャル勾配が生成される。得られたポテンシャルプロファイルを図9aのAA線に沿って見た場合を図9bに示している。負のバイアスによって、ナノワイヤの表面レイヤがp+レイヤに対して反転する。正孔は、PINフォトダイオードと同様にしてナノワイヤの表面に蓄積される。光生成電子は、コアのポテンシャルの中間部分で最大になるので、ナノワイヤコアの中間で集められる。
図10は、図9aの垂直軸CCに沿ったポテンシャルプロファイルを示す。n−領域のポテンシャルは、一般に、N+の拡散ポテンシャルによって確立される。
典型的には、n−領域のポテンシャルは正である。ナノワイヤは、しかしながら、負のバイアスを有するフォトゲートVP Gate 1と容量性結合している。この結果、ナノワイヤ領域においてポテンシャル勾配が現れる。換言すれば、N -ウェルから遠くなるほど、チャネルのポテンシャルは低くなる。n-ウェルに近くなるほど、チャネルのポテンシャルは高くなる。
典型的には、n-領域に向かうポテンシャル傾斜によって生成される電場によって、電子の動きは強化される。ナノワイヤにおける傾斜を強化するために、図11a及び11bに示すように、先細のクラッドを用いることができる。図11aは、徐々に先細となるフォトゲートを備えるナノワイヤの断面図を示し、図11bは、階段状に先細となる一実施形態のフォトゲートを有するナノワイヤの断面図を示す。
図11a及び11bにおいて、誘電体クラッドは、底部、すなわち基板に隣接する部分が上部よりも幅広となるように、先細になっている。ナノワイヤフォトダイオードの所望の性能に応じて、しかしながら、テーパは、底部よりも上部において幅広となり得る。代替的な実施形態が図12a及び図12bに示されている。
図11a及び図11bの実施形態と同様に、テーパが除々に又は段階的に形成されている。図12aは、除々に先細にされたフォトゲートを有するナノワイヤの断面図を示す。図12bは、段階的に先細にされたフォトゲートを備える一実施形態に係るナノワイヤデバイスの断面図を示す。
図13は、ピクセルの他の実施形態を示す。当該ピクセルは、能動的なピクセルコンポーネント及び単一の又は複数のナノワイヤ(NW)フォトダイオードを含む。能動的なコンポーネントには、トランジスタ、増幅器、及びスイッチが含まれ得る。図示の実施形態は、ソースフォロワー増幅器、選択スイッチ、リセットトランジスタ、及びトランスファーゲートスイッチを含む四つのトランジスタを有する。代替的に、当該ピクセルは、トランスファーゲートスイッチを除外して三つのトランジスタで構成することもできる。ナノワイヤを囲んでいる電極は、垂直フォトゲート(VPG)として機能し、誘電体レイヤにわたるナノワイヤへの容量性結合を提供する。この構造においては、ナノワイヤの表面が’正孔を蓄積できるように負の電圧がVPGに印加される。蓄積された正孔は、シリコン格子における表面欠陥のために熱的に生成された暗電流を抑制する。ナノワイヤの下方には、N-ウェルが配置され、ナノワイヤ又はN-ウェルフォトダイオードからの電子を集める。狭いp+レイヤは、N-ウェルの上部に配置され、PINフォトダイオードを形成する。この構成によっても、シリコン表面に発生する暗電流が抑制される。
VPGに印加されるバイアスは、D C バイアス又はパルスバイアスのいずれでもよい。ナノワイヤフォトダイオードは、バルクのフォトダイオードと比較して、異なるスペクトル応答を有する。両ダイオードからの光信号はバルクダイオードに集められるので、本実施形態のピクセルは、色信号を識別することができない。
そこで、このピクセルは、従来のカラーフィルタ用いないモノクロピクセルとしての使用に適している。
図14は、垂直PINナノワイヤを有する一実施形態に係るナノワイヤデバイスの断面図を示す。ナノワイヤは、低濃度にドープされた又は真性半導体材料を含むことができる。上部ナノワイヤの先端は、ナノワイヤが垂直PIN構造を形成することができるように、p+ドープされた材料によって被覆されている。インジウムスズ酸化物(ITO)レイヤは、p+領域を負のバイアス電圧を供給する電極に接続するために、上部に堆積される。負のバイアスは、印加時に、真性の又は低濃度でドープされたナノワイヤ、及び、p-基板におけるナノワイヤの底部のn-領域を空乏化させる。また、負バイアスは、垂直フォトゲート(V Gate)がオンされたときに、光生成キャリアがフォトキャリアが下方にn-レイヤまで滑り落ちるように、垂直方向に電場を生成する。ナノワイヤを取り囲む金属レイヤは、光波のガイドを提供し、隣あうナノワイヤ間での光学的な漏話を防止する。
図示されたピクセルは、能動的ピクセルコンポーネントとしてバッファ増幅器を含む。また、本実施形態においては、ナノワイヤの底部におけるp+レイヤが除外されている。これは、p+レイヤが底部に存在する場合には、基板と−Vバイアスとの間の漏洩パスが形成されているためである。すなわち、先に述べた実施形態におけるp+レイヤを除去することによって、この構成においてはリークが低減される。
図15は、他の実施形態に係る垂直PINナノワイヤを有するナノワイヤデバイスの断面図を示す。ナノワイヤのコアは、低濃度にドープされたn(n-)半導体材料から成る。ナノワイヤは、同軸型のPINナノワイヤ構造を構成するために、真性半導体材料及びp+ドープ半導体材料で被覆される。
次に、ITOレイヤが、p+領域を負のバイアス電圧を供給する電極に接続するために堆積される。負のバイアスは、印加時に、ナノワイヤ、及び、p-基板におけるナノワイヤの底部のn-領域を空乏化させる。また、負バイアスは、ナノワイヤ表面からコアへの同軸方向の電場を形成する。また、負バイアスは、光生成キャリアがナノワイヤコアへ移動し、垂直フォトゲート(V gate)がオンされたときにn-レイヤへ滑り落ちることができるように、垂直方向に電場を形成する。
ワイヤを囲む金属レイヤは、光波のガイドを提供し、隣あうナノワイヤ間での光学的な漏話を防止する。シャロートレンチアイソレーション(STI)は、CMOSプロセス中に形成される。
上述の詳細な説明は、図、フローチャート、及び/又は例を用いて、デバイス及び/又はプロセスの様々な実施形態を説明した。かかる図面、フローチャート、及び/又は例は、一又は複数の機能及び/又は動作を含んでいる場合には、かかる図面、フローチャート、及び/又は例における各々の機能及び/又は動作は、独立して及び/又は他の機能及び/又は動作とともに、ハードウェア、ソフトウェア、又はこれらの事実上任意の組み合わせによって実現され得ることは、当業者に明らかである。一実施形態において、本明細書において開示された発明主題の複数の部分は、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DPS)、又はこれら以外の集積回路によって実行され得る。しかしながら、本明細書の実施形態の一部の態様は、全体として又は部分的に、集積回路によって、一又は複数のコンピュータ上で動作する一又は複数のコンピュータプログラムとして(例えば、一又は複数のコンピュータシステム上で動作する一又は複数のプログラムとして)、一又は複数のプロセッサ上で動作する一又は複数のプログラムとして(例えば、一又は複数のマイクロプロセッサ上で動作する一又は複数のプログラムとして)、ファームウェアとして、又は、これらの事実上任意の組み合わせとして、同等に実現可能であること、並びに、ソフトウェア及び/又はファームウェアに関する回路の設計及び/又はコードの記述は、本開示に照らして、当業者の技術的理解の範囲ないであること、は当業者に明らかである。
また、本明細書に開示された発明主題のメカニズムは、様々な形態でプログラム製品として配布され得ること、及び、本明細書に開示された発明主題の図示された実施形態は、当該配布を実際に実行するために用いられるデータ担持媒体の特定の形式によらず適用可能であることも当業者にとって明らかである。データ担持媒体の例には、以下のものが含まれるが、それらに限定されるものではない。フロッピーディスク(商標)、ハードディスクドライブ、コンパクトディス(CD)、デジタルビデオディスク(DVD)、デジタルテープ、及びコンピュータメモリ等の記録可能媒体、並びに、デジタル及び/又はアナログ通信媒体(例えば、光ファイバーケーブル、導波路、有線通信リンク、無線通信リンク等)の通信媒体が含まれる。
当業者にとっては、本明細書において説明された方法で、装置及び/又はプロセスを記述すること、及び、その後に技術的な実務に従って、記述された装置及び/又はプロセスをデータ処理システムに統合することはは一般的である。すなわち、本明細書において記述された装置及び/又はプロセスの少なくとも一部は、合理的な量の実験によってデータ処理システムに統合され得る。当業者は、典型的なデータ処理システムには、一般に、システムユニットハウジング、ビデオディスプレイ装置、揮発性及び不揮発性のメモリ、マイクロプロセッサ及びデジタル信号プロセッサ等のプロセッサ、オペレーティングシステム、ドライバ、グラフィカルユーザインタフェース、及びアプリケーションプログラム等のコンピュータエンティティ、タッチパッドやタッチスクリーン等の一又は複数の対話装置、及び/又はフィードバックループ及びコントロールモータ等のコントロールシステム(例えば、センサ一及び又は速度に関するフィードバック、成分及び/又は量の変更及び/又は調整)のうちの一又は複数が含まれることを理解している。典型的なデータ処理システムは、任意の好適な市販されているコンポーネント、例えば、データコンピューティング、通信及び/もしくはネットワークコンピューティング、並びに/又はコンピュータ/通信システム等を利用することによって実現される。
本明細書において記述された発明主題は、他の様々なコンポーネントに含まれ、又は、他の様々なコンポーネントに接続された、様々なコンポーネントを示している。このように説明されたアーキテクチャは例示に過ぎず、実際には同じ機能を実現する多くの他のアーキテクチャも実装可能である。概念的な意味において、同一の機能を実現するためのコンポーネントの任意の配置が、所望の機能が実現されるように効果的に関連づけられている。それ故、特定の機能を実現するために組み合わせられた本明細書における任意の二つのコンポーネントは、アーキテクチャや中間媒介コンポーネントによらず、所望の機能が実現されるように互いに「関連づけられている」と見なし得る。同様に、そのように関連づけられた任意の二つのコンポーネントは、所望の機能を実現するために、互いに「動作可能に接続されている」又は「動作可能に結合されている」とも見なし得る。また、そのように関連づけられた任意の二つのコンポーネントは、所望の機能を実現するために、互いに「動作可能に結合され得る」とも見なし得る。動作可能に結合市得る特定の例には、光学的な光を伝送可能な光学的結合、例えば、光パイプ又は光ファイバー、物理的に対話するコンポーネント、及び/又は無線対話可能な及び/又は無線対話用のコンポーネント、及び/又は論理対話及び/又は論理対話可能なコンポーネントを含むがこれらには限られない。
本明細書における実質的に任意の複数形の及び/又は単数形の用語の使用に関して、当業者は、文脈上及び/又は活用上適当な場合には、複数形を単数形に変換して、及び/又は単数形を複数形に変換することができる。
当業者にとっては、一般に、本明細書、特に添付の特許請求の範囲(請求項のボディ部)において用いられる用語は、一般に、「オープン」な用語であることが意図されている(例えば、「含んでいる」という用語は、「含んでおり、それに限定されるものではない」という意味に、「有する」という用語は、「少なくとも有する」という意味に解されるべきである。)と理解される。さらに、請求項の発明特定事項に特定の数が特定される場合には、請求項においてその意図が明示的に特定される。かかる特定がない場合には、そのような意図は存在しない。例えば、理解を助けるために、以下の請求項は、発明特定事項を導入するために、「少なくとも一つの」及び「一又は複数の」という前置句の使用を含むことができる。しかしながら、かかる句の使用は、不定冠詞である「a」や「an」によって、かかる導入句を有する特定の請求項がかかる発明特定事項をただ一つだけ含むものに限定されると解することはできず、これはたとえ同じ請求項において「一又は複数の」という導入句及び「a」や「an」のような不定冠詞が用いられている場合であったとしても同様である(たとえば、「a」及び/又は「an」は、典型的には、「少なくとも一つの」又は「一又は複数の」を意味すると解釈されるべきである)。請求項の発明特定事項を導入するために用いられる定冠詞の使用についても同じことが当てはまる。また、たとえ請求項の発明特定事項の数が明示的に特定されている場合であっても、かかる発明特定事項は、典型的には、「少なくとも」特定された数を意味すると解釈されるべきである(例えば、「二つの特定事項」という特定が他の形容詞なしに用いられている場合には、典型的には、少なくとも二つの特定事項又は二つ又はそれ以上の特定事項を意味する。)。
特許、特許出願、及び非特許文献に関するすべての言及は、参照により全体として本明細書に組み込まれる。
様々な態様及び実施形態が本明細書で説明されたが、他の態様及び実施形態も当業者には明らかであろう。本明細書で開示された様々な態様及び実施形態は、説明のためになされたものであり、限定的なものではない。真の範囲及び趣旨は、以下の特許請求の範囲により示される。

Claims (43)

  1. ナノワイヤを含むナノワイヤフォトダイオードと、
    前記ナノワイヤフォトダイオードに当該ナノワイヤフォトダイオードを囲むように接続された少なくとも一つの垂直フォトゲートと、
    を備えるデバイス。
  2. 基板と、基板フォトダイオードと、を更に備える請求項1のデバイス。
  3. トランスファーゲートと、リセットゲートと、を更に備える請求項2のデバイス。
  4. 前記ナノワイヤフォトダイオード及び前記基板フォトダイオードが低濃度にドープされている、請求項2のデバイス。
  5. 前記基板の表面と前記基板フォトダイオードとの間の前記基板内の領域をさらに備え、前記領域は、暗電流を抑制するように構成された、請求項2のデバイス。
  6. 前記基板が電気的接地に接続されている、請求項2のデバイス。
  7. 前記トランスファーゲートがオンのときに、前記基板フォトダイオードが正にバイアスされる、請求項2のデバイス。
  8. 前記基板フォトダイオードが空乏化される、請求項7のデバイス。
  9. 前記トランスファーゲート及び前記リセットゲートがオフのときに、前記基板フォトダイオードが前記基板に関してフローティングしているキャパシタを形成する、請求項7のデバイス。
  10. 前記ナノワイヤフォトダイオードと前記基板との間にポテンシャル差が形成されるように、前記垂直フォトゲートが、ナノワイヤにおけるポテンシャルを制御するように構成された、請求項1のデバイス。
  11. 前記ナノワイヤフォトダイオードと動作可能に接続された第2の垂直フォトゲートをさらに備え、当該第2の垂直フォトゲートが、前記ナノワイヤフォトダイオードで生成された信号電荷を、基板フォトダイオードで生成された信号電荷から分離するオン/オフスイッチとなるように構成された、請求項1のデバイス。
  12. 光電荷が、前記ナノワイヤフォトダイオード及び前記基板フォトダイオードにおいて、実質的に同時に、しかし異なるポテンシャルウェルにおいて、統合される、請求項2のデバイス。
  13. 前記第2の垂直フォトゲートがオフのときに、前記ナノワイヤフォトダイオードと前記基板フォトダイオードとの間にポテンシャル障壁が形成される、請求項11のデバイス。
  14. 前記ナノワイヤに印加された負のバイアスによって、正孔が前記ナノワイヤの表面に蓄積され、電子が前記ナノワイヤの中心に蓄積される、請求項1のデバイス。
  15. 前記ナノワイヤにおいてポテンシャルの傾斜が存在する、請求項14のデバイス。
  16. 前記ナノワイヤフォトダイオードが、ナノワイヤと、当該ナノワイヤを取り囲み当該ナノワイヤの屈折率よりも小さな屈折率を有するクラッドと、を備え、当該クラッドが先細になっている、請求項1のデバイス。
  17. 前記クラッドは、除々に、又は、段階的に、先細になる、請求項16のデバイス。
  18. 複数のナノワイヤフォトダイオードデバイスを備えた装置であって、当該ナノワイヤフォトダイオードデバイスが、ナノワイヤフォトダイオードと、当該ナノワイヤフォトダイオードに当該ナノワイヤフォトダイオードを囲むように接続された少なくとも一つの垂直フォトゲートと、を備え、当該ナノワイヤフォトダイオードがナノワイヤ及びクラッドを備える、装置。
  19. 一つの垂直フォトゲートが、オン/オフスイッチとして構成され、前記装置が、前記オン/オフスイッチの全てがオン又はオフに同時になり得るように構成された、請求項18の装置。
  20. 前記複数のナノワイヤフォトダイオード装置がトランスファーゲートをさらに備え、前記装置が、前記トランスファーゲートの全てがオン又はオフに同時になり得るように構成された、請求項19の装置。
  21. 前記オン/オフスイッチが、第1のグローバルコネクションに接続され、前記トランスファーゲートが第2のグローバルコネクションに接続された、請求項20の装置。
  22. 前記複数のナノワイヤフォトダイオードが、行列のアレイ形状に構成され、前記複数のナノワイヤフォトダイオードの各々がリセットゲートを含み、前記ナノワイヤフォトダイオードのアレイが、行ごとにリセットするように構成された、請求項18の装置。
  23. 前記複数のナノワイヤフォトダイオードが、個別に動作可能に構成された、請求項18の装置。
  24. ナノワイヤを含むナノワイヤフォトダイオードと、
    前記ナノワイヤフォトダイオードに当該ナノワイヤフォトダイオードを囲むように接続された少なくとも一つの垂直フォトゲートと、
    少なくとも三つのトランジスタと、
    を備えるデバイス。
  25. 前記少なくとも三つのトランジスタが、ソースフォロワー増幅器、選択スイッチ、及びリセットトランスファーを含む、請求項24のデバイス。
  26. 垂直フォトゲートが、前記ナノワイヤへ接続するキャパシタを提供する、請求項25のデバイス。
  27. 蓄積された正孔により、熱的に生成された暗電流を抑制する、請求項14のデバイス。
  28. 第1のドーピング型の基板をさらに備え、当該基板が第2のドーピング型のウェルを含み、前記第1の型及び前記第2の型が異なる、請求項24のデバイス。
  29. ウェルが、前記ナノワイヤ又は前記基板において生成された電子を集めるように構成された請求項28のデバイス。
  30. ウェルの上部に狭い薄膜レイヤを含み、当該薄膜レイヤは、前記第1の型のドーピングを含む、請求項28のデバイス。
  31. 前記ウェルの上部に真性レイヤをさらに含む請求項30のデバイス。
  32. 前記薄膜レイヤ、前記真性レイヤ、及び前記ウェルがPINフォトダイオードを構成する、請求項31のデバイス。
  33. ピクセルが、バイアス電圧を前記垂直フォトゲートに印加するように構成され、前記バイアス電圧は、DCバイアス又はパルスバイアスのいずれかである、請求項1のデバイス。
  34. 基板と、シャロートレンチアイソレーションレイヤを更に備える請求項1のデバイス。
  35. インジウムスズ酸化物(ITO)レイヤをさらに備える請求項1のデバイス。
  36. 前記ナノワイヤの上部にp+レイヤを含む請求項1のデバイス。
  37. 前記p+レイヤを囲む金属レイヤをさらに備える請求項36のデバイス。
  38. 前記金属レイヤが光学的導波管を提供し、光学的漏話を防止する、請求項37のデバイス。
  39. バッファ増幅器をさらに備える請求項1のデバイス。
  40. 実質的に前記ナノワイヤ全体を囲むp+レイヤさらに備える、請求項1のデバイス。
  41. 前記ナノワイヤが、真性半導体レイヤで囲まれたn−コアを有する、請求項1のデバイス。
  42. 前記ナノワイヤが真性半導体を備える請求項1のデバイス。
  43. ナノワイヤを含むナノワイヤフォトダイオードを形成する工程と、
    少なくとも一つの垂直フォトゲートを前記ナノワイヤフォトダイオードに当該ナノワイヤフォトダイオードを囲むように接続する工程と、を備える装置の製造方法。

JP2012543245A 2009-12-08 2010-12-08 ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造 Expired - Fee Related JP5684281B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/633,313 US20100148221A1 (en) 2008-11-13 2009-12-08 Vertical photogate (vpg) pixel structure with nanowires
US12/633,313 2009-12-08
PCT/US2010/059468 WO2011087633A1 (en) 2009-12-08 2010-12-08 Vertical photogate (vpg) pixel structure with nanowires

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015005091A Division JP5985670B2 (ja) 2009-12-08 2015-01-14 ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造

Publications (2)

Publication Number Publication Date
JP2013513253A JP2013513253A (ja) 2013-04-18
JP5684281B2 true JP5684281B2 (ja) 2015-03-11

Family

ID=44304548

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012543245A Expired - Fee Related JP5684281B2 (ja) 2009-12-08 2010-12-08 ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造
JP2015005091A Expired - Fee Related JP5985670B2 (ja) 2009-12-08 2015-01-14 ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015005091A Expired - Fee Related JP5985670B2 (ja) 2009-12-08 2015-01-14 ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造

Country Status (4)

Country Link
US (1) US20100148221A1 (ja)
JP (2) JP5684281B2 (ja)
TW (1) TW201143056A (ja)
WO (1) WO2011087633A1 (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8029186B2 (en) * 2004-11-05 2011-10-04 International Business Machines Corporation Method for thermal characterization under non-uniform heat load
EP1998373A3 (en) 2005-09-29 2012-10-31 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device having oxide semiconductor layer and manufacturing method thereof
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US20110115041A1 (en) * 2009-11-19 2011-05-19 Zena Technologies, Inc. Nanowire core-shell light pipes
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9000353B2 (en) * 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8519379B2 (en) * 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US20100148221A1 (en) * 2008-11-13 2010-06-17 Zena Technologies, Inc. Vertical photogate (vpg) pixel structure with nanowires
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8384007B2 (en) * 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8889455B2 (en) * 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US20100304061A1 (en) * 2009-05-26 2010-12-02 Zena Technologies, Inc. Fabrication of high aspect ratio features in a glass layer by etching
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
WO2011162720A1 (en) * 2010-06-23 2011-12-29 Agency For Science, Technology And Research A light collecting device
FR2963187A1 (fr) * 2010-07-21 2012-01-27 St Microelectronics Crolles 2 Dispositif d'imagerie a performances ameliorees et procede de commande.
FR2964795B1 (fr) * 2010-09-09 2013-09-27 Commissariat Energie Atomique Photodetecteur et matrice de détection correspondante
US8461571B2 (en) * 2011-06-29 2013-06-11 Nokia Corporation Method and apparatus for converting photon energy to electrical energy
US8901697B2 (en) * 2012-03-16 2014-12-02 Analog Devices, Inc. Integrated circuit having a semiconducting via; an integrated circuit including a sensor, such as a photosensitive device, and a method of making said integrated circuit
FR2997596B1 (fr) * 2012-10-26 2015-12-04 New Imaging Technologies Sas Structure d'un pixel actif de type cmos
US9728662B2 (en) * 2012-11-01 2017-08-08 The Regents Of The University Of California Semiconductor infrared photodetectors
JP6060652B2 (ja) * 2012-11-28 2017-01-18 富士通株式会社 太陽電池及びその製造方法
WO2015108589A2 (en) 2013-10-22 2015-07-23 Massachusetts Institute Of Technology Waveguide formation using cmos fabrication techniques
US9725310B2 (en) 2013-12-20 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electromechanical system sensor and method of forming the same
KR102244679B1 (ko) 2014-07-15 2021-04-27 삼성전자주식회사 이미지 센서 및 이미지 센서의 동작 방법
JP6267403B1 (ja) * 2014-11-24 2018-01-24 アーティラックス インコーポレイテッドArtilux Inc. 同じ基板上でトランジスタと共に光検出器を製作するためのモノリシック集積技法
US9923003B2 (en) * 2015-06-30 2018-03-20 Microsoft Technology Licensing, Llc CMOS image sensor with a reduced likelihood of an induced electric field in the epitaxial layer
TWI751144B (zh) * 2016-03-24 2022-01-01 美商陶氏全球科技責任有限公司 光電子裝置及使用方法
CN118099177A (zh) * 2016-12-22 2024-05-28 宽腾矽公司 具有直接合并像素的整合式光电侦测器
US11081398B2 (en) 2016-12-29 2021-08-03 Globaleoundries U.S. Inc. Method and structure to provide integrated long channel vertical FinFet device
US10014409B1 (en) * 2016-12-29 2018-07-03 Globalfoundries Inc. Method and structure to provide integrated long channel vertical FinFET device
JP2018174231A (ja) 2017-03-31 2018-11-08 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、および電子機器
FR3107372B1 (fr) * 2020-02-14 2022-02-04 Commissariat Energie Atomique Dispositif capacitif
CN113611759B (zh) * 2021-07-28 2023-08-08 青岛海信宽带多媒体技术有限公司 一种光探测器、制备方法以及光模块
WO2024141103A1 (zh) * 2022-12-30 2024-07-04 上海联影微电子科技有限公司 光电二极管及其制造方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4017332A (en) * 1975-02-27 1977-04-12 Varian Associates Solar cells employing stacked opposite conductivity layers
JPS61250605A (ja) * 1985-04-27 1986-11-07 Power Reactor & Nuclear Fuel Dev Corp 導光路付きイメ−ジフアイバ
US5081049A (en) * 1988-07-18 1992-01-14 Unisearch Limited Sculpted solar cell surfaces
US5311047A (en) * 1988-11-16 1994-05-10 National Science Council Amorphous SI/SIC heterojunction color-sensitive phototransistor
US5401968A (en) * 1989-12-29 1995-03-28 Honeywell Inc. Binary optical microlens detector array
US5096520A (en) * 1990-08-01 1992-03-17 Faris Sades M Method for producing high efficiency polarizing filters
JPH0661465A (ja) * 1992-08-11 1994-03-04 Mitsubishi Electric Corp 赤外線撮像素子
US5391896A (en) * 1992-09-02 1995-02-21 Midwest Research Institute Monolithic multi-color light emission/detection device
SG50569A1 (en) * 1993-02-17 2001-02-20 Rolic Ag Optical component
US5747796A (en) * 1995-07-13 1998-05-05 Sharp Kabushiki Kaisha Waveguide type compact optical scanner and manufacturing method thereof
JP3079969B2 (ja) * 1995-09-14 2000-08-21 日本電気株式会社 完全密着型イメージセンサ及びその製造方法
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US6033582A (en) * 1996-01-22 2000-03-07 Etex Corporation Surface modification of medical implants
US5723945A (en) * 1996-04-09 1998-03-03 Electro Plasma, Inc. Flat-panel display
GB2312524A (en) * 1996-04-24 1997-10-29 Northern Telecom Ltd Planar optical waveguide cladding by PECVD method
JP2910696B2 (ja) * 1996-09-20 1999-06-23 日本電気株式会社 半導体光検出器
US6388648B1 (en) * 1996-11-05 2002-05-14 Clarity Visual Systems, Inc. Color gamut and luminance matching techniques for image display systems
US5857053A (en) * 1997-06-17 1999-01-05 Lucent Technologies Inc. Optical fiber filter
US5880495A (en) * 1998-01-08 1999-03-09 Omnivision Technologies, Inc. Active pixel with a pinned photodiode
AU2492399A (en) * 1998-02-02 1999-08-16 Uniax Corporation Image sensors made from organic semiconductors
WO2000052765A1 (en) * 1999-03-01 2000-09-08 Photobit Corporation Active pixel sensor with fully-depleted buried photoreceptor
JP4242510B2 (ja) * 1999-05-06 2009-03-25 オリンパス株式会社 固体撮像素子およびその駆動方法
WO2002004999A2 (en) * 2000-07-10 2002-01-17 Massachusetts Institute Of Technology Graded index waveguide
US20060175601A1 (en) * 2000-08-22 2006-08-10 President And Fellows Of Harvard College Nanoscale wires and related devices
US6800870B2 (en) * 2000-12-20 2004-10-05 Michel Sayag Light stimulating and collecting methods and apparatus for storage-phosphor image plates
EP1365455A4 (en) * 2001-01-31 2006-09-20 Shinetsu Handotai Kk SOLAR CELL AND METHOD FOR MANUFACTURING SAME
US6882051B2 (en) * 2001-03-30 2005-04-19 The Regents Of The University Of California Nanowires, nanostructures and devices fabricated therefrom
US6563995B2 (en) * 2001-04-02 2003-05-13 Lightwave Electronics Optical wavelength filtering apparatus with depressed-index claddings
US20030006363A1 (en) * 2001-04-27 2003-01-09 Campbell Scott Patrick Optimization of alignment between elements in an image sensor
US6709929B2 (en) * 2001-06-25 2004-03-23 North Carolina State University Methods of forming nano-scale electronic and optoelectronic devices using non-photolithographically defined nano-channel templates
FR2832995B1 (fr) * 2001-12-04 2004-02-27 Thales Sa Procede de croissance catalytique de nanotubes ou nanofibres comprenant une barriere de diffusion de type alliage nisi
US6987258B2 (en) * 2001-12-19 2006-01-17 Intel Corporation Integrated circuit-based compound eye image sensor using a light pipe bundle
US6720594B2 (en) * 2002-01-07 2004-04-13 Xerox Corporation Image sensor array with reduced pixel crosstalk
AU2003207096A1 (en) * 2002-01-14 2003-07-24 China Petroleum And Chemical Corporation A power transmission unit of an impactor, a hydraulic jet impactor and the application thereof
US7192533B2 (en) * 2002-03-28 2007-03-20 Koninklijke Philips Electronics N.V. Method of manufacturing nanowires and electronic device
US20040026684A1 (en) * 2002-04-02 2004-02-12 Nanosys, Inc. Nanowire heterostructures for encoding information
AU2003268487A1 (en) * 2002-09-05 2004-03-29 Nanosys, Inc. Nanocomposites
US7135728B2 (en) * 2002-09-30 2006-11-14 Nanosys, Inc. Large-area nanoenabled macroelectronic substrates and uses therefor
US7507293B2 (en) * 2002-10-28 2009-03-24 Hewlett-Packard Development Company, L.P. Photonic crystals with nanowire-based fabrication
US7163659B2 (en) * 2002-12-03 2007-01-16 Hewlett-Packard Development Company, L.P. Free-standing nanowire sensor and method for detecting an analyte in a fluid
CA2419704A1 (en) * 2003-02-24 2004-08-24 Ignis Innovation Inc. Method of manufacturing a pixel with organic light-emitting diode
US7050660B2 (en) * 2003-04-07 2006-05-23 Eksigent Technologies Llc Microfluidic detection device having reduced dispersion and method for making same
US20050064337A1 (en) * 2003-04-21 2005-03-24 Fuji Photo Film Co., Ltd. Image forming method and image exposure device
US7265037B2 (en) * 2003-06-20 2007-09-04 The Regents Of The University Of California Nanowire array and nanowire solar cells and methods for forming the same
US7330404B2 (en) * 2003-10-10 2008-02-12 Seagate Technology Llc Near-field optical transducers for thermal assisted magnetic and optical data storage
US7019402B2 (en) * 2003-10-17 2006-03-28 International Business Machines Corporation Silicon chip carrier with through-vias using laser assisted chemical vapor deposition of conductor
US7823783B2 (en) * 2003-10-24 2010-11-02 Cognex Technology And Investment Corporation Light pipe illumination system and method
KR20060109956A (ko) * 2003-12-23 2006-10-23 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 이종접합을 포함하는 반도체 장치
US7647695B2 (en) * 2003-12-30 2010-01-19 Lockheed Martin Corporation Method of matching harnesses of conductors with apertures in connectors
US7052927B1 (en) * 2004-01-27 2006-05-30 Raytheon Company Pin detector apparatus and method of fabrication
US7115971B2 (en) * 2004-03-23 2006-10-03 Nanosys, Inc. Nanowire varactor diode and methods of making same
US7019391B2 (en) * 2004-04-06 2006-03-28 Bao Tran NANO IC packaging
US7427798B2 (en) * 2004-07-08 2008-09-23 Micron Technology, Inc. Photonic crystal-based lens elements for use in an image sensor
WO2006013890A1 (ja) * 2004-08-04 2006-02-09 Matsushita Electric Industrial Co., Ltd. コヒーレント光源
US20060027071A1 (en) * 2004-08-06 2006-02-09 Barnett Ronald J Tensegrity musical structures
US7713849B2 (en) * 2004-08-20 2010-05-11 Illuminex Corporation Metallic nanowire arrays and methods for making and using same
US20060071290A1 (en) * 2004-09-27 2006-04-06 Rhodes Howard E Photogate stack with nitride insulating cap over conductive layer
US7208783B2 (en) * 2004-11-09 2007-04-24 Micron Technology, Inc. Optical enhancement of integrated circuit photodetectors
KR100688542B1 (ko) * 2005-03-28 2007-03-02 삼성전자주식회사 수직형 나노튜브 반도체소자 및 그 제조방법
WO2006110341A2 (en) * 2005-04-01 2006-10-19 North Carolina State University Nano-structured photovoltaic solar cells and related methods
KR101145146B1 (ko) * 2005-04-07 2012-05-14 엘지디스플레이 주식회사 박막트랜지스터와 그 제조방법
US20090050204A1 (en) * 2007-08-03 2009-02-26 Illuminex Corporation. Photovoltaic device using nanostructured material
US7683407B2 (en) * 2005-08-01 2010-03-23 Aptina Imaging Corporation Structure and method for building a light tunnel for use with imaging devices
US7485908B2 (en) * 2005-08-18 2009-02-03 United States Of America As Represented By The Secretary Of The Air Force Insulated gate silicon nanowire transistor and method of manufacture
US7649665B2 (en) * 2005-08-24 2010-01-19 The Trustees Of Boston College Apparatus and methods for optical switching using nanoscale optics
US7608823B2 (en) * 2005-10-03 2009-10-27 Teledyne Scientific & Imaging, Llc Multimode focal plane array with electrically isolated commons for independent sub-array biasing
US8133637B2 (en) * 2005-10-06 2012-03-13 Headwaters Technology Innovation, Llc Fuel cells and fuel cell catalysts incorporating a nanoring support
JP2007134562A (ja) * 2005-11-11 2007-05-31 Sharp Corp 固体撮像装置およびそれの製造方法
JP2007184566A (ja) * 2005-12-06 2007-07-19 Canon Inc 半導体ナノワイヤを用いた半導体素子、それを用いた表示装置及び撮像装置
US7524694B2 (en) * 2005-12-16 2009-04-28 International Business Machines Corporation Funneled light pipe for pixel sensors
JP4952227B2 (ja) * 2006-01-06 2012-06-13 富士通株式会社 微粒子サイズ選別装置
US7358583B2 (en) * 2006-02-24 2008-04-15 Tower Semiconductor Ltd. Via wave guide with curved light concentrator for image sensing devices
NZ570678A (en) * 2006-03-10 2010-10-29 Stc Unm Pulsed growth of GaN nanowires and applications in group III nitride semiconductor substrate materials and devices
US20070272828A1 (en) * 2006-05-24 2007-11-29 Micron Technology, Inc. Method and apparatus providing dark current reduction in an active pixel sensor
JP5060740B2 (ja) * 2006-05-26 2012-10-31 シャープ株式会社 集積回路装置およびその製造方法、ならびに表示装置
WO2008057629A2 (en) * 2006-06-05 2008-05-15 The Board Of Trustees Of The University Of Illinois Photovoltaic and photosensing devices based on arrays of aligned nanostructures
JP2008004899A (ja) * 2006-06-26 2008-01-10 Fujifilm Corp 固体撮像装置
US7579593B2 (en) * 2006-07-25 2009-08-25 Panasonic Corporation Night-vision imaging apparatus, control method of the same, and headlight module
US20080044984A1 (en) * 2006-08-16 2008-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of avoiding wafer breakage during manufacture of backside illuminated image sensors
US7786376B2 (en) * 2006-08-22 2010-08-31 Solexel, Inc. High efficiency solar cells and manufacturing methods
JP4321568B2 (ja) * 2006-08-29 2009-08-26 ソニー株式会社 固体撮像装置および撮像装置
CN101140637A (zh) * 2006-09-08 2008-03-12 鸿富锦精密工业(深圳)有限公司 电子订单转工单的系统及方法
JP4983178B2 (ja) * 2006-09-15 2012-07-25 富士通株式会社 差動四位相偏移変調光受信回路
US7361989B1 (en) * 2006-09-26 2008-04-22 International Business Machines Corporation Stacked imager package
JP4296193B2 (ja) * 2006-09-29 2009-07-15 株式会社東芝 光デバイス
KR100772114B1 (ko) * 2006-09-29 2007-11-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7525170B2 (en) * 2006-10-04 2009-04-28 International Business Machines Corporation Pillar P-i-n semiconductor diodes
US7427525B2 (en) * 2006-10-13 2008-09-23 Hewlett-Packard Development Company, L.P. Methods for coupling diamond structures to photonic devices
US7608905B2 (en) * 2006-10-17 2009-10-27 Hewlett-Packard Development Company, L.P. Independently addressable interdigitated nanowires
EP1926211A3 (en) * 2006-11-21 2013-08-14 Imec Diamond enhanced thickness shear mode resonator
KR100923165B1 (ko) * 2006-12-04 2009-10-23 한국전자통신연구원 부양형 나노선 센서 및 그 제조 방법
JP4795214B2 (ja) * 2006-12-07 2011-10-19 チェイル インダストリーズ インコーポレイテッド ワイヤーグリッド偏光子及びその製造方法
WO2008143727A2 (en) * 2007-02-27 2008-11-27 The Regents Of The University Of California Nanowire photodetector and image sensor with internal gain
SE532485C2 (sv) * 2007-03-27 2010-02-02 Qunano Ab Nanostruktur för laddningslagring
US7906778B2 (en) * 2007-04-02 2011-03-15 Hewlett-Packard Development Company, L.P. Methods of making nano-scale structures having controlled size, nanowire structures and methods of making the nanowire structures
US8027086B2 (en) * 2007-04-10 2011-09-27 The Regents Of The University Of Michigan Roll to roll nanoimprint lithography
US8330090B2 (en) * 2007-05-07 2012-12-11 Nxp, B.V. Photosensitive device and method of manufacturing a photosensitive device using nanowire diodes
KR100901236B1 (ko) * 2007-05-16 2009-06-08 주식회사 동부하이텍 이미지센서 및 그 제조방법
US7663202B2 (en) * 2007-06-26 2010-02-16 Hewlett-Packard Development Company, L.P. Nanowire photodiodes and methods of making nanowire photodiodes
US20090020150A1 (en) * 2007-07-19 2009-01-22 Atwater Harry A Structures of ordered arrays of semiconductors
TWI457821B (zh) * 2007-08-01 2014-10-21 Silverbrook Res Pty Ltd 互動平台掃描器
US7786440B2 (en) * 2007-09-13 2010-08-31 Honeywell International Inc. Nanowire multispectral imaging array
FR2923602B1 (fr) * 2007-11-12 2009-11-20 Commissariat Energie Atomique Detecteur de rayonnement electromagnetique a thermometre a nanofil et procede de realisation
US8030729B2 (en) * 2008-01-29 2011-10-04 Hewlett-Packard Development Company, L.P. Device for absorbing or emitting light and methods of making the same
US20090189145A1 (en) * 2008-01-30 2009-07-30 Shih-Yuan Wang Photodetectors, Photovoltaic Devices And Methods Of Making The Same
KR101448152B1 (ko) * 2008-03-26 2014-10-07 삼성전자주식회사 수직 포토게이트를 구비한 거리측정 센서 및 그를 구비한입체 컬러 이미지 센서
WO2009135078A2 (en) * 2008-04-30 2009-11-05 The Regents Of The University Of California Method and apparatus for fabricating optoelectromechanical devices by structural transfer using re-usable substrate
JP2009283649A (ja) * 2008-05-22 2009-12-03 Panasonic Corp 固体撮像装置及びその製造方法
KR101435519B1 (ko) * 2008-07-24 2014-08-29 삼성전자주식회사 광 포커싱 구조를 가진 이미지 센서
US8638194B2 (en) * 2008-07-25 2014-01-28 Axcess International, Inc. Multiple radio frequency identification (RFID) tag wireless wide area network (WWAN) protocol
US8198706B2 (en) * 2008-07-25 2012-06-12 Hewlett-Packard Development Company, L.P. Multi-level nanowire structure and method of making the same
WO2010010838A1 (ja) * 2008-07-25 2010-01-28 コニカミノルタホールディングス株式会社 透明電極および透明電極の製造方法
EP2154574B1 (en) * 2008-08-14 2011-12-07 ASML Netherlands BV Radiation source and method of generating radiation
US8384007B2 (en) * 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US7646943B1 (en) * 2008-09-04 2010-01-12 Zena Technologies, Inc. Optical waveguides in image sensors
US20100148221A1 (en) * 2008-11-13 2010-06-17 Zena Technologies, Inc. Vertical photogate (vpg) pixel structure with nanowires
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
EP2180526A2 (en) * 2008-10-23 2010-04-28 Samsung Electronics Co., Ltd. Photovoltaic device and method for manufacturing the same
US20100104494A1 (en) * 2008-10-24 2010-04-29 Meng Yu-Fei Enhanced Optical Properties of Chemical Vapor Deposited Single Crystal Diamond by Low-Pressure/High-Temperature Annealing
WO2010119916A1 (en) * 2009-04-13 2010-10-21 Olympus Corporation Fluorescence sensor, needle-type fluorescence sensor, and method for measuring analyte
WO2010144866A2 (en) * 2009-06-11 2010-12-16 The Arizona Board Of Regents On Behalf Of The University Of Arizona Microgrid imaging polarimeters with frequency domain reconstruction
EP2290718B1 (en) * 2009-08-25 2015-05-27 Samsung Electronics Co., Ltd. Apparatus for generating electrical energy and method for manufacturing the same

Also Published As

Publication number Publication date
US20100148221A1 (en) 2010-06-17
JP2013513253A (ja) 2013-04-18
WO2011087633A1 (en) 2011-07-21
JP2015097284A (ja) 2015-05-21
TW201143056A (en) 2011-12-01
JP5985670B2 (ja) 2016-09-06

Similar Documents

Publication Publication Date Title
JP5985670B2 (ja) ナノワイヤを有する垂直フォトゲート(vpg)ピクセル構造
US9123841B2 (en) Nanowire photo-detector grown on a back-side illuminated image sensor
TWI435444B (zh) 以奈米配線為基礎的被動式像素影像感測器
US9304035B2 (en) Vertical waveguides with various functionality on integrated circuits
US8889455B2 (en) Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
TWI450407B (zh) 具有一環繞磊晶生長p或n層之奈米線結構光電二極體

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140325

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150114

R150 Certificate of patent or registration of utility model

Ref document number: 5684281

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees