JP5605958B2 - 薄い半導体のためのパネル化裏面処理を用いた半導体製造方法及び半導体デバイス - Google Patents

薄い半導体のためのパネル化裏面処理を用いた半導体製造方法及び半導体デバイス Download PDF

Info

Publication number
JP5605958B2
JP5605958B2 JP2012510021A JP2012510021A JP5605958B2 JP 5605958 B2 JP5605958 B2 JP 5605958B2 JP 2012510021 A JP2012510021 A JP 2012510021A JP 2012510021 A JP2012510021 A JP 2012510021A JP 5605958 B2 JP5605958 B2 JP 5605958B2
Authority
JP
Japan
Prior art keywords
die
substrate
layer
mold compound
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012510021A
Other languages
English (en)
Other versions
JP2012526400A (ja
JP2012526400A5 (ja
Inventor
アルヴィンド・チャンドラセカラン
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2012526400A publication Critical patent/JP2012526400A/ja
Publication of JP2012526400A5 publication Critical patent/JP2012526400A5/ja
Application granted granted Critical
Publication of JP5605958B2 publication Critical patent/JP5605958B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1405Shape
    • H01L2224/14051Bump connectors having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Dicing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Description

本開示は、一般に、集積回路(IC)に関する。より詳細には、本開示は、集積回路を製造することに関する。
半導体ダイは、基板上に製作された活性層のトランジスタおよび他の部品の集まりを含む。一般に、これらの基板は、半導体材料であり、とりわけ、シリコンである。さらに、これらの基板は、従来、望ましいデバイスの挙動を得るには必要以上に厚い。通常は、帯状にされた、またはダイシングされた半導体ウエハの上に、層を堆積させて、半導体ダイを形成する。
厚い基板は、トランジスタの挙動を除けば、半導体の製造中に、利点を有する。ウエハおよび/またはダイの製造中、基板は、多くの処理、高熱、およびツール間での、またはさらには製作現場間での移動に耐える。これらの移動の間に、基板が割れて、結果として時間およびリソースの損失となることがある。厚い基板は、製造中に基板が割れる可能性がより少ない。
基板に堆積された材料が、基板とは異なる応力を有して、不均衡な応力をもたらすことがある。基板と堆積材料との応力が不均衡であるとき、基板は、反って、または曲がって、平衡応力に達することがある。厚い基板は、薄い基板に比べて、堆積材料により課された応力を、よりよく釣り合わせることができる。製造中に薄い基板を使用することによる問題は、従来、接着剤によって薄い基板を厚い支持基板に取り付けることによって、解決されてきた。支持基板は、キャリアウエハと呼ばれる。キャリアウエハは、その間に薄い基板が破断する危険性のある、製造プロセスのある部分が完了した後に、取り外される。
キャリアウエハの使用は、いくつかの理由により望ましくない。キャリアウエハは、製造コストを増大するが、最終的な製品に有形の価値を加えることはない。さらに、キャリアウエハを薄い基板に取り付ける接着剤が、半導体ウエハの薄い基板に残留物を残す。キャリアウエハは製造中に安定性を提供するものの、薄い基板をキャリアウエハから取り外すことは、製造課題を表している。
薄い基板を使用する製造の一例に、積層ICの構造がある。積層ICは、デバイスの機能性を向上させて、ダイを垂直に積層することにより、ダイのサイズを減少させる。より小さな土地面積により多くのオフィス空間を収める高層タワーに似て、積層ICは、同じ面積を占有しながら、トランジスタおよび他の部品のためのより多くの空間を提供する。
積層ICでは、第2のダイを、第1のダイに積層して、3次元(3D)に拡張するための構造を可能にする。積層ICは、より多くの数の部品を有する製品が小さなフォームファクタに収まることを可能にする。半導体ダイの部品密度は、ダイ面積によって分割されるダイにおける部品の数である。たとえば、ダイを同一のダイの上に積層することは、結果として同じ面積における部品の数を約2倍にして、部品密度を2倍にする。第2のダイを第1のダイに積層するとき、2つのダイは同じパッケージングを共有し、パッケージングを介して外部デバイスと通信する。
従来、第2のダイは、第1のダイに位置するシリコン貫通ビアにより、パッケージングおよび外部デバイスに結合される。シリコン貫通ビアは、部分的には、選択された製造方法に基づいたアスペクト比に限定される。結果として、第1のダイの高さは、シリコン貫通ビアが第1のダイの全体の高さに延在可能であることを確実にするように、限定される。シリコン貫通ビアは、パッケージング基板から第2のダイまでの導電路を得るために、全体の高さに延在するべきである。シリコン貫通ビアの製造に対応するために、第1のダイの高さが減少するにつれて、第1のダイは、構造強度を失う。
積層ICを製造することは、従来、第1のダイを薄くする前に、第1のダイを、支持のためのキャリアウエハに取り付けることを含む。次いで第1のダイを、シリコン貫通ビアの高さに対応するように薄くする。第1のダイのウエハは、積層ICをパッケージするために、薄くした後に、キャリアウエハから外されるべきである。しかしながら、一旦キャリアウエハから外されると、第1のダイは、第1のダイの基板と、ダイの任意の活性層との間で、不均衡な応力を有することがある。
したがって、キャリアウエハを使用せずに、薄い基板へのリスクを削減する、薄い基板の半導体製造の必要性が存在する。
本開示の一態様に従って、半導体製造方法は、第1のダイを基板パネルに取り付けるステップを含む。方法はまた、第1のダイを基板パネルに取り付けた後に、第1のダイおよび基板パネルにモールド化合物を適用するステップを含む。方法は、モールド化合物を適用した後に、第1のダイおよびモールド化合物を薄くするステップをさらに含む。
本開示の別の態様に従って、半導体製造方法が、ウエハを第1の層ダイ(tier die)にダイシングするステップを含む。方法はまた、第1の層ダイを基板パネルに取り付けるステップを含む。方法は、第1の層ダイおよび基板パネルに第1のモールド化合物を適用するステップをさらに含む。方法はまた、第1の層ダイおよび第1のモールド化合物を裏面研削するステップを含む。方法はさらにまた、第2の層ダイを第1の層ダイに取り付けるステップを含む。方法は、第1の層ダイおよび第2の層ダイに第2のモールド化合物を適用するステップをさらに含む。
本開示のさらに別の態様によれば、半導体デバイスは、基板パネルを含む。デバイスはまた、基板パネルに取り付けられた第1のダイを含む。デバイスは、部分的に第1のダイを取り囲み、基板パネルと同一平面上にあるモールド化合物をさらに含む。
本開示のさらなる態様によれば、半導体デバイスは、電子処理するための手段を含む。デバイスはまた、電子処理するための手段を所定の位置に固定するための手段を含む。デバイスは、固定するための手段および電子処理するための手段と同一平面上にある、電子処理するための手段をパッケージングするための手段をさらに含む。
以上は、以下に続く詳細な説明をよりよく理解することができるように、本開示の特徴および技術的な効果を、むしろ大まかに概説したものである。本開示の特許請求の範囲の主題を形成する追加的な特徴および効果は、以下で説明される。開示される概念および特定の実施形態は、本開示の同じ目的を実施するための他の構造を修正する、または設計するための基礎として容易に利用されてよいことが、当業者によって認識されるべきである。そのような均等な構造は、添付の特許請求の範囲において記されるような本開示の技術から逸脱しないことが、当業者によってやはり理解されるべきである。本開示の特性であると考えられる新規な特徴は、その構成および動作の方法の両方に関して、さらなる目的および効果と共に、添付の図を併用して検討するときに、以下の説明からよりよく理解されるであろう。しかしながら、図のそれぞれは、例示および説明のみの目的のために提供され、本開示の範囲の定義としての意図はないことが、明確に理解されるべきである。
次に、本開示のより完全な理解のために、添付の図面を併用して、以下の説明への参照がなされる。
本開示の実施形態が有利に利用されてよい、例示的なワイヤレス通信システムを示すブロック図である。 以下で説明するような半導体部品の回路、レイアウト、および論理設計のために使用される設計ワークステーションを示すブロック図である。 積層ICを示すブロック図である。 引張応力下のダイを示すブロック図である。 従来の積層IC製造を示す流れ図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 従来の積層IC製造プロセスを示すブロック図である。 一実施形態に従ってICを製造するための例示的なプロセスを示す流れ図である。 一実施形態に従って積層ICを製造するための例示的なプロセスを示す流れ図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。 一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。
図1は、本開示の実施形態が有利に利用されてよい、例示的なワイヤレス通信システム100を示すブロック図である。説明目的のために、図1は、3つのリモートユニット120、130、および150と、2つの基地局140とを示す。典型的なワイヤレス通信システムは、さらに多くのリモートユニットおよび基地局を有してよいことが理解されるであろう。リモートユニット120、130、および150は、ここで開示されたプロセスにより製造された回路を含むICデバイス125A、125B、および125Cを含む。ICを収容する任意のデバイスはまた、基地局、スイッチングデバイス、およびネットワーク機器を含む、開示される特徴および/またはここで開示されるプロセスにより製造された部品を有する半導体部品を含んでよいことが理解されるであろう。図1は、基地局140からリモートユニット120、130、および150への順方向リンク信号180と、リモートユニット120、130、および150から基地局140への逆方向リンク信号190とを示す。
図1において、リモートユニット120は移動電話として示され、リモートユニット130はポータブルコンピュータとして示され、リモートユニット150は、ワイヤレスローカルループシステムにおける固定位置リモートユニットとして示される。たとえば、リモートユニットは、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータなどのデバイスであってよい。図1は、本開示の教示に従って、リモートユニットを図示しているが、本開示は、これらの例示的に図示されたユニットに限定されない。本開示は、以下で説明するような半導体部品を含む任意のデバイスにおいて好適に利用されてよい。
図2は、以下で説明するような半導体部品の回路、レイアウト、および論理設計のために使用される設計ワークステーションを示すブロック図である。設計ワークステーション200は、オペレーティングシステムソフトウェア、サポートファイル、およびCadenceまたはOrCADなどの設計ソフトウェアを収容するハードディスク201を含む。設計ワークステーション200はまた、回路210の、またはウエハもしくはダイなどの半導体部品212の設計を容易にするための表示装置を含む。記憶媒体204は、回路設計210または半導体部品212を有形に記憶するために提供される。回路設計210は、または半導体部品212は、GDSIIまたはGERBERなどのファイル形式で、記憶媒体204に記憶されてよい。記憶媒体204は、CD−ROM、DVD、ハードディスク、フラッシュメモリ、または他の適切なデバイスであってよい。さらに、設計ワークステーション200は、記憶装置204からの入力を受け入れる、または記憶媒体204に出力を書き込むためのドライブ装置203を含む。
記憶媒体204に記録されているデータは、論理回路構成、フォトリソグラフィマスクのためのパターンデータ、または電子ビームリソグラフィなどのシリアル書き込みツールのためのマスクパターンデータを指定することができる。データは、論理シミュレーションに関連付けられたタイミング図またはネット回路(net circuits)などの、論理検証データをさらに含むことができる。記憶媒体204にデータを提供することは、半導体ウエハを設計するためのプロセス数を減らすことによって、回路設計210または半導体部品212の設計を容易にする。
図3は、積層ICを示すブロック図である。積層IC300は、パッケージング基板310を含む。パッケージング基板310は、ボールグリッドアレイのバンプなどのパッケージング接続部322を介して、第1の層ダイ320に結合されている。代替的には、ピンまたは他の好適なパッケージング接続部が使用されてよい。第2の層ダイ330は、ボールグリッドアレイのバンプなどのパッケージング接続部332を介して、第1の層ダイ320に結合されている。第1の層ダイ320は、シリコン貫通ビア324を含む。シリコン貫通ビア324は、第1の層ダイ320の全体の高さに延在し、パッケージング基板310をパッケージング接続部332に結合して、パッケージング基板310から、第1の層ダイ320または第2の層ダイ330への通信を可能にする。追加的なダイ(図示せず)を、第2の層ダイ330の上にさらに積層してもよい。
積層IC300のような積層ICは、3D積層によって、2D ICで達成することができるよりも、高い密度のICの製造を可能にする。たとえば、第2の層ダイ330は、メモリデバイスまたはキャッシュデバイスであってよく、第1の層ダイ320は、プロセッサまたは他の論理回路であってよい。マイクロプロセッサのダイ面積の大部分は、L2キャッシュによって占有される。キャッシュを論理回路に積層することにより、マイクロプロセッサのダイのサイズを縮小することができる。代替的には、マイクロプロセッサから分離してダイの上に位置するDRAM部品を、マイクロプロセッサに積層してもよい。DRAM部品をマイクロプロセッサに積層することにより、マザーボードの空間制約を削減することができる。加えて、DRAM部品をマイクロプロセッサに近づけて配置することにより、待ち時間を削減することができ、より高いクロック速度など、DRAM部品への帯域幅を上昇させる方法を使用することを可能にする。少なくともこれらの理由により、積層ICを使用して到達可能な部品のより高い密度は、将来のICの開発をサポートすることを期待されている。
第2の層ダイ330が第1の層ダイ320に取り付けられるとき、第1の層ダイ320にかけられる物理的な力の結果として、損傷が生じる可能性がある。第1の層ダイ320の厚さは、これらの物理的な力に持ちこたえるためのその機械的強度に対応する。したがって、シリコン貫通ビア324を露出させるように第1の層ダイ320を薄くすると、第2の層ダイ330を取り付けている間に第1の層ダイ320に損傷が生じる可能性は、より高くなる。
図4は、引張応力下のダイを示すブロック図である。ダイ400は、基板412、および活性層414を有する。基板412は、たとえば、シリコン、または他の半導体材料であってよい。活性層414は、たとえば、トランジスタなどの部品を含むことができる。活性層414はまた、部品を外部デバイス(図示せず)に結合するためのインターコネクトおよびビアを含むことができる。シリコン貫通ビア416は、基板412中に配置されて、基板412の前面413と、基板412の裏面411との結合を可能にする。たとえば、ダイ400は、パッケージング基板(図示せず)に搭載された積層ICの第1の層であってよい。このケースでは、シリコン貫通ビア416は、積層ICの第2の層をパッケージング基板に結合することができる。
シリコン貫通ビア416は、たとえば、反応イオンエッチング、ウェットエッチング、またはレーザドリル加工などの、エッチング技法により形成される。シリコン貫通ビア416の高さは、部分的には、シリコン貫通ビア416の幅によって制限され、決定される。たとえば、エッチングプロセスは、エッチング比10:1を有することができ、これはエッチングを、シリコン貫通ビア416の幅の10倍の深さだけ続行することができることを示す。このケースでは、1μmのシリコン貫通ビアを、10μmの深さにエッチングすることができる。したがって、基板412の高さは、選択されたエッチングプロセス、およびシリコン貫通ビア416の幅によって許容されるものよりも小さくあるべきである。
基板412の機械的強度は、基板412の高さに比例する。したがって、シリコン貫通ビア416が前面413から裏面411にまで延在することを可能にするように、基板412の高さを縮小することは、基板412の機械的強度を削減する。活性層414は、基板412を薄くしている間、一定の高さのままである。したがって、基板412の高さに関わらず活性層414において増大した同じレベルの応力を支持するには、基板412の強度は少ない。活性層414における応力は、活性層414を構成する膜の数およびタイプに応じて、残留圧縮または残留引張であってよい。基板412の上に正味残留圧縮応力があると、基板412は、外側に押し出る傾向となり、全体のアセンブリは、しかめ顔の形(frown shape)の形に曲がることになる。基板412の上に正味残留引張応力があると、基板412は、内側に押し出る傾向となり、全体のアセンブリは、笑顔の形(smile shape)の形に曲がることになる。
さらに、活性層414および基板412の応力に、温度が影響することがある。たとえば、温度が上昇するにつれ、異なる材料は異なる速度で膨張することがある。活性層414が基板412よりも早い速度で膨張した場合、基板412は、機械的強度の不足のために反ることがある。反りは、活性層414のデバイスを損傷する、または製造の後の方で問題を引き起こすことがある。
その上、活性層414の部品は、特定の応力範囲において適正に機能するように設計されている。たとえば、活性層414における引張応力が、nFETデバイスのキャリア移動度を改善する。
活性層414において増大した応力に加えて、製造プロセスは、基板412の前面413を損傷する。損傷は、反応イオンエッチングおよび金属堆積などのプラズマプロセスの間に、基板412上のエネルギー粒子の衝撃によって引き起こされる。損傷はまた、ウェットエッチングまたはクリーニングの間に使用される化学薬品にさらされることによって引き起こされることがある。基板412の前面413が損傷すると、損傷部分の応力が、基板412の大部分と異なる。これらの応力の差異が、製造中のさらなる反りの問題につながる。
図5は、従来の積層IC製造を示す流れ図である。ブロック515で、ウエハは、キャリアウエハに取り付けられる。キャリアウエハは、製造中のウエハに支持を提供する。ブロック520で、シリコン貫通ビアを露出させるように、ウエハを薄くする。ブロック525で、ウエハは加工される。例示的なプロセスは、活性層の堆積、トランジスタ接点の製造、およびトランジスタインターコネクトの製造を含む。ウエハを薄くし、活性層を堆積させた後、ウエハにおける不均衡な応力のために反りが生じることがある。キャリアウエハは、薄くした後の反りを防ぐために、追加的な支持を提供する。ブロック530で、キャリアウエハが、ウエハから取り外される。キャリアウエハの取り外しは、接着剤の溶解、およびウエハの接着剤残留物のクリーニングを含む。残留物を完全に取り除くことは困難であり、クリーニングは、ウエハを損傷する可能性がある強い化学薬品を使用する。
ブロック535で、ウエハを、個々の第1の層ダイにダイシングする。ブロック540で、第1の層ダイは、基板パネルに取り付けられる。ブロック545で、第2の層ダイが、第1の層ダイに取り付けられる。ブロック550で、第1の層ダイ、第2の層ダイ、および基板パネルが一緒に成形される。ブロック550の後に、マーキング、およびボールグリッドなどのパッケージング接続部の取付けを含む、追加的な製造を完了させてよい。従来の積層IC製造を、図6を参照して、以下でより詳細に説明する。
図6A〜6Kは、従来の積層IC製造を示すブロック図である。図6Aは、シリコン貫通ビア612およびパッケージング接続部611を有するウエハ610を含む。図6Bで、ウエハ610は、キャリアウエハ616に取り付けられる。キャリアウエハ616は、薄くするプロセス、およびそれに続く製造プロセスの間、ウエハ610に追加的な支持を提供する。支持が提供されない場合、ウエハ610は、図4を参照して上で説明したように反ることがある。キャリアウエハ616は、最終的な製品の一部ではない、追加的な材料およびリソースを消費する。したがって、キャリアウエハ616は、製造コストを付加するものの、最終製品に有形の利益を提供しない。その上、キャリアウエハ616は、製造の後の方で取り除かれる場合があり、製造の時間を長引かせる。キャリアウエハ616への取付けの後は、ウエハ610を、反りの可能性を減少させて薄くすることができる。
図6Cを参照すると、シリコン貫通ビア612が露出させるように、ウエハ610を薄くする。図6Dで、分離層626を、ウエハ610に堆積させる。図6Eで、分離層626をエッチングし、導電層631を、分離層626のエッチングされた領域に堆積させる。図6Fで、パッケージング接続部636が導電層631に結合される。図6Gで、キャリアウエハ616が取り外される。図6Hで、次いでウエハ610を、ダイ646およびダイ647のような複数のダイにダイシングする。図6Iに示すように、ダイ646、647のそれぞれは、パッケージング接続部611を介して、基板パネル651に取り付けられる。基板パネル651はまた、インターコネクション652を収容してよい。図6Jで、第2の層ダイ656がダイ646に取り付けられ、パッケージング接続部636を介して結合される。第2の層ダイ656は、ダイ646よりも大きな高さである。したがって、第2の層ダイ656がダイ646に取り付けられるとき、ウエハ621が経験する高い力によって、ウエハ621を損傷する可能性がある。図6Kで、第2の層ダイ656がダイ646に取り付けられた後、第2の層ダイ656は、モールド化合物661に封入される。
製造中、ウエハを薄くする前に、ウエハをキャリアウエハの代わりに基板パネルに取り付けることにより、不均衡な応力から生じるウエハの反りに対処する間の損傷を防ぐように、機械的支持を提供する。キャリアウエハとは異なり、基板パネルは製品の一部であり、取り除かれることはない。キャリアウエハ、ならびに、接着剤およびクリーニング溶剤などの他の不必要な材料を製造から排除することにより、コストおよび複雑性を削減する。
図7は、一実施形態に従ってICを製造するための例示的なプロセスを示す流れ図である。ブロック720で、ウエハをダイシングして、第1の層ダイを形成する。ブロック725で、第1の層ダイは、基板パネルに取り付けられる。基板パネルは、最終的な製品に使用される大きな厚さで半導体ダイを生産するよりも、低いコストで半導体ダイに支持を提供する。一実施形態において、基板パネルを取り付ける前に、ウエハを薄くする。ブロック730で、第1の層ダイおよび基板パネルが、モールド化合物に封入される。モールド化合物は、電子部品およびアセンブリに適用されて、支持および保護を提供する。モールド化合物は、エポキシ樹脂、フィラー、および添加物を含む化合物であってよい。フィラーおよび添加物は、たとえば、熱膨張係数などを調整するために付加される。ブロック735で、第1の層ダイを薄くする。これは、さらなる処理のためにウエハを準備することであってよい。たとえば、積層ICにおいて、薄くすることにより、少なくとも1つのシリコン貫通ビアを露出させる。ブロック740で、パッケージング接続部の堆積を含む、第1の層ダイでの追加的な製造を完了させる。
単層ICを所望する場合、製造流れ図700は、ブロック740の後に終了してよい。代替的には、以下で説明するように、第2の層ダイまたはさらなるダイを、第1の層ダイに積層するための追加的な製造が使用されてよい。単層ICは、シリコン貫通ビアを含んでも、含まなくてもよい。
ブロック745で、第2の層ダイが、第1の層ダイに取り付けられる。ブロック750で、第2の層ダイ、第1の層ダイ、および基板パネルが、モールド化合物に封入される。積層ICを製造する例示的なプロセスの特定の実施形態の詳細を、図8を参照して以下で提示する。
図8は、一実施形態に従った例示的な積層ICの製造を示す流れ図である。ブロック815で、ウエハを、たとえば裏面研削またはエッチングによって、任意に薄くしてもよい。基板パネルへの取付けの前に薄くすることは、モールド化合物の均一な裏面研削の助けとなる。一実施形態によれば、ウエハをおよそ100μmに薄くする。積層IC製造前、ウエハは50〜300μmであってよい。ブロック820で、ウエハを、第1の層ダイにダイシングする。ダイシングは、たとえば、ダイヤモンドスクライブによるスクライビング、ダイヤモンドソーによるソーイング、またはレーザによるカッティングによって実現されてよい。
ブロック825で、第1の層ダイが、基板パネルに取り付けられる。基板パネルは、たとえば、繊維強化樹脂、有機膜、または半導体であってよい。基板パネルは、最終的な積層IC製品の一部である。したがって、基板パネルは、製造の後の方で取り除かれることなく、第1の層ダイに支持を提供する。
ブロック830で、第1の層ダイおよび基板パネルが、モールド化合物に封入される。基板パネルによって提供される支持に加えて、モールド化合物が、第1の層ダイに追加的な支持を提供する。ブロック835で、シリコン貫通ビアを露出させるように、さらなる裏面研削が第1の層ダイを薄くする。さらなる裏面研削の後、第1の層ダイは、一実施形態によれば、高さ50μm未満であってよい。第1の層ダイをさらに薄くするために、シリコンリセスエッチングを使用してもよい。
ブロック840で、分離層を第1の層ダイに堆積させる。分離層は、たとえば、シリコン窒化物、シリコン酸化物、またはポリマーであってよい。ブロック845で、分離層をパターニングし、導電層をエッチングされた領域に堆積させる。分離層のパターニングは、たとえば、フォトレジスト材料を堆積させ、フォトリソグラフィツールのマスクを介してフォトレジストを露出させ、分離層をエッチングし、フォトレジスト材料を取り除くことによって、実現されてよい。分離層のエッチングされた領域に堆積された金属層が、たとえば、第1の層ダイのシリコン貫通ビアに結合してもよい。導電層は、銅、アルミニウム、または銅、アルミニウムの合金、および他の元素であってよい。
ブロック850で、マイクロバンプを第1の層ダイに堆積させる。マイクロバンプを堆積させることは、たとえば、シーディングし、アンダーバンプ冶金(UBM)を実施し、パターニングし、金属をめっきすることによって、実現されてよい。マイクロバンプは、第2の層ダイへの結合のために使用されてよい。ブロック855で、第2の層ダイは、第1の層ダイに取り付けられ、マイクロバンプを介して結合される。第2の層ダイは、第1の層ダイと同じタイプのダイであっても、一実施形態においては補足的なダイであってもよい。たとえば、第2の層ダイのメモリデバイスが、第1の層ダイの論理ユニットを補足してよい。ブロック860で、第1の層ダイ、第2の層ダイ、および基板パネルが、モールド化合物に封入される。
図9A〜9Lは、一実施形態に従った例示的な積層IC製造プロセスを示すブロック図である。ウエハ910は、パッケージング接続部911と、シリコン貫通ビア912とを含む。一実施形態において、ウエハ910は、50〜300μmの厚さを有する。ウエハ910を、裏面研削によって薄くし、図9Bのウエハ915を形成する。一実施形態において、ウエハ915は、100μmの厚さであってよい。ウエハ915をダイシングして、図9Cの第1の層ダイ921および第1の層ダイ922を形成する。
図9Dで、第1の層ダイ921、922は、インターコネクト927を有する基板パネル926に取り付けられる。一実施形態によれば、基板パネル926は、たとえば、繊維強化樹脂または有機膜であってよい。基板パネル926は、最終的な製品に使用される大きな厚さで半導体ウエハを生産するよりも、低いコストで半導体ウエハに支持を提供する。
図9Eで、モールド化合物931が、第1の層ダイ921、922の周りに位置付けられて、第1の層ダイ921、922を基板パネル926に固定する。一実施形態において、モールド化合物931は、モールド化合物をチェースの中に位置付け、次いでモールド化合物を第1の層ダイ921、922の周りに堆積させることによって、形成される。製造コストを削減するために、最小量のモールド化合物が、第1の層ダイ921、922を覆う。第1の層ダイ921、922に適用された余分なモールド化合物は、裏面研削によって取り除かれるべきである。
図9Fで、第1の層ダイ921、922、およびモールド化合物931を、シリコン貫通ビア912を露出させるように、裏面研削またはリセスエッチングによって薄くする。一実施形態において、厚さは、50μm未満である。図9Gで、分離層941を、第1の層ダイ921、922に堆積させる。図9Hで、分離層941を、リソグラフィおよびエッチングを使用してパターニングし、金属接続部946をエッチングされた領域に堆積させる。任意のバックエンドオブライン(BEOL)処理を実施する前にモールド化合物931を適用した結果として、分離層941および他のバックエンドオブライン(BEOL層(図示せず)は、基板926と同一平面上にある。
図9Iで、マイクロバンプ951または他のパッケージング接続部を堆積させる。図9Jで、第2の層ダイ952が第1の層ダイ921に取り付けられ、第2の層ダイ953が第1の層ダイ922に取り付けられる。図9Kで、モールド化合物961が第2の層ダイ952、953の上に位置付けられて、第2の層ダイ952、953を固定する。
モールド化合物961が固化された後に、第2の層ダイ952、953が、個々の積層ICに単一化されてよい。図9Lは、ウエハから単一化された積層ICを示すブロック図である。
上で説明した図はいくつかのプロセスを図示しており、必ずしも一定の縮尺で描かれているわけではない。上で説明したプロセスは、任意のサイズのデバイスの製造に適用されてよい。
製造中に、ウエハのための支持として基板パネルを利用するダイの例示的な製造により、薄いウエハの反りおよびリスクへの対処を低減する。例示的な製造はまた、キャリアウエハの使用、およびキャリアウエハを取り付けるのに使用される関連する接着剤の使用を排除する。製造はさらに、薄いダイの上に厚いダイを取り付けることに関連した、第2の層または追加的な層の取付けに関連付けられたリスクを削減する。例示的な製造プロセスは、積層ICに適用されてよい。上で説明したように、製造プロセスは、既存の製造技法を活用して、2D ICから3D ICへの容易な移行を可能にする。
用語「シリコン貫通ビア」は、シリコンという言葉を含むものの、シリコン貫通ビアは、必ずしもシリコンで構成されていないことに留意されたい。むしろ、材料は、いかなるデバイス基板材料であってもよい。
本開示およびその効果を詳細に説明してきたが、さまざまな変更形態、代替形態、および改変形態が、添付の特許請求の範囲によって定義されるような本開示の技術から逸脱することなく、本明細書においてなされてよいことを理解すべきである。さらに、本出願の範囲は、本明細書で説明したプロセス、マシン、製造、組成物、手段、方法、およびステップの特定の実施形態に限定することを意図していない。当業者であれば本開示から容易に認識するように、現存する、あるいは今後開発される、本明細書で説明した対応する実施形態と実質的に同じ機能を実行する、または実質的に同じ結果を達成する、プロセス、マシン、製造、組成物、手段、方法、またはステップも、本開示に従って利用することができる。したがって、添付の特許請求の範囲は、その範囲内に、そのようなプロセス、マシン、製造、組成物、手段、方法、またはステップを含むことを意図している。
100 ワイヤレス通信システム
120 リモートユニット
130 リモートユニット
150 リモートユニット
140 基地局
125A ICデバイス
125B ICデバイス
125C ICデバイス
180 順方向リンク信号
190 逆方向リンク信号
200 設計ワークステーション
201 ハードディスク
203 ドライブ装置
204 記憶媒体
210 回路/回路設計
212 半導体部品
910 ウエハ
911 パッケージング接続部
912 シリコン貫通ビア
915 ウエハ
921 第1の層ダイ
922 第1の層ダイ
926 基板パネル
927 インターコネクト
931 モールド化合物
941 分離層
946 金属接続部
951 マイクロバンプ
952 第2の層ダイ
953 第2の層ダイ
961 モールド化合物

Claims (15)

  1. 半導体製造方法であって、
    第1のダイを形成したウエハを裏面研削するステップと
    前記第1のダイを基板パネルに取り付ける前に、ウエハを前記第1のダイにダイシングするステップと、
    記第1のダイを前記基板パネルに取り付けるステップと、
    前記第1のダイを前記基板パネルに取り付けた後に、前記第1のダイおよび前記基板パネルにモールド化合物を適用するステップと、
    前記モールド化合物を適用した後に、前記第1のダイおよび前記モールド化合物を薄くするステップと
    を含む方法。
  2. 前記第1のダイを薄くするステップが、少なくとも1つのシリコン貫通ビアを露出させる、請求項1に記載の方法。
  3. 薄くするステップの後に、
    分離層を前記第1のダイに堆積させるステップと、
    パッケージング接続部を前記第1のダイに堆積させるステップと
    をさらに含む請求項2に記載の方法。
  4. 前記パッケージング接続部を、前記第1のダイの前記少なくとも1つのシリコン貫通ビアに結合するステップをさらに含む請求項3に記載の方法。
  5. 第2のダイを前記パッケージング接続部に取り付けるステップをさらに含む請求項4に記載の方法。
  6. 前記第2のダイに第2のモールド化合物を適用するステップをさらに含む請求項5に記載の方法。
  7. 前記第1のダイを、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータからなる群から選択されるデバイスの中に組み込むステップをさらに含む請求項1に記載の方法。
  8. 前記モールド化合物を適用するステップが、エポキシベースのモールドを適用するステップを含む、請求項1に記載の方法。
  9. 前記モールド化合物を適用するステップが、モールドチェースをモールド化合物で満たすステップと、前記モールドチェースを前記第1のダイに適用するステップとを含む、請求項1に記載の方法。
  10. 半導体製造方法であって、
    ウエハを裏面研削するステップと、
    前記ウエハを第1のダイにダイシングするステップと、
    前記第1のダイを基板パネルに取り付けるステップと、
    前記第1のダイおよび前記基板パネルに第1のモールド化合物を適用するステップと、
    前記第1のダイおよび前記第1のモールド化合物を裏面研削するステップと、
    分離層を前記第1のダイに堆積させるステップと、
    前記分離層に第1のダイのシリコン貫通ビアに結合するパッケージング接続部を形成するステップと、
    第2のダイを前記パッケージング接続部に取り付けて前記第2のダイを前記第1のダイに取り付けるステップと、
    前記第1のダイおよび前記第2のダイに第2のモールド化合物を適用するステップと
    を含む方法。
  11. 基板と、
    シリコン貫通ビアと、第1の表面と、第1の表面の反対側の第2の表面とを有し、第1の表面が前記基板によって支持される、第1のダイと、
    前記基板と前記第1のダイとの間の通信を容易にするためにシリコン貫通ビアに結合された、前記基板と前記第1のダイとの間のパッケージング接続部と、
    前記第1のダイを部分的に取り囲み、第1のモールド化合物の側壁が前記基板の側壁と同一平面上にある、前記基板と前記第1のダイとの間の第1のモールド化合物と
    前記第1のダイに結合された第2のダイと、
    前記第2のダイを部分的に取り囲み、第2のモールド化合物の側壁が前記第1のモールド化合物の側壁および分離層の側壁と同一平面上にあり、第2のモールド化合物の表面が前記分離層の第2の表面と隣接する、第2のモールド化合物と、
    前記第1のダイと前記第2のダイとの間に配置されるとともに、第1のモールド化合物によって部分的に支持され、実質的に前記基板の長さに延在する分離層と、
    を含む半導体デバイス。
  12. 前記第1のモールド化合物と同一平面上のバックエンドオブライン層をさらに含む請求項11に記載の半導体デバイス。
  13. 基板と、
    シリコン貫通ビアと、第1の表面と、第1の表面の反対側の第2の表面とを有し、第1の表面が前記基板によって支持されるダイと、
    前記基板と前記ダイとの間の通信を容易にするためにシリコン貫通ビアに結合された、前記基板と前記ダイとの間のパッケージング接続部と、
    前記基板と前記ダイとの間にあり、前記ダイを部分的に取り囲み、封入手段の側壁が前記基板の側壁と同一平面上にある、ダイを封入するための手段と、
    前記ダイの上に配置されるとともに、封入手段によって部分的に支持され、実質的に前記基板の長さに延在する、ダイを電気的に分離する手段と、
    を含む半導体デバイス。
  14. 前記半導体デバイスが、通信デバイス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、コンピュータ、携帯情報端末(PDA)、および固定位置データユニットの少なくとも1つに組み込まれる請求項13に記載の半導体デバイス。
  15. 前記半導体デバイスが、通信デバイス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、コンピュータ、携帯情報端末(PDA)、および固定位置データユニットの少なくとも1つに組み込まれる請求項11に記載の半導体デバイス。
JP2012510021A 2009-05-07 2010-05-07 薄い半導体のためのパネル化裏面処理を用いた半導体製造方法及び半導体デバイス Active JP5605958B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/437,168 US8294280B2 (en) 2009-05-07 2009-05-07 Panelized backside processing for thin semiconductors
US12/437,168 2009-05-07
PCT/US2010/034096 WO2010129903A1 (en) 2009-05-07 2010-05-07 Panelized backside processing for thin semiconductors

Publications (3)

Publication Number Publication Date
JP2012526400A JP2012526400A (ja) 2012-10-25
JP2012526400A5 JP2012526400A5 (ja) 2013-08-08
JP5605958B2 true JP5605958B2 (ja) 2014-10-15

Family

ID=42340979

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012510021A Active JP5605958B2 (ja) 2009-05-07 2010-05-07 薄い半導体のためのパネル化裏面処理を用いた半導体製造方法及び半導体デバイス

Country Status (8)

Country Link
US (2) US8294280B2 (ja)
EP (1) EP2427909B1 (ja)
JP (1) JP5605958B2 (ja)
KR (1) KR101309549B1 (ja)
CN (2) CN106129000A (ja)
ES (1) ES2900265T3 (ja)
TW (1) TW201118937A (ja)
WO (1) WO2010129903A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8294280B2 (en) 2009-05-07 2012-10-23 Qualcomm Incorporated Panelized backside processing for thin semiconductors
US8541886B2 (en) * 2010-03-09 2013-09-24 Stats Chippac Ltd. Integrated circuit packaging system with via and method of manufacture thereof
US9230894B2 (en) * 2012-05-02 2016-01-05 Infineon Technologies Ag Methods for manufacturing a chip package
US8964888B2 (en) * 2012-08-29 2015-02-24 Qualcomm Incorporated System and method of generating a pre-emphasis pulse
US9257341B2 (en) 2013-07-02 2016-02-09 Texas Instruments Incorporated Method and structure of packaging semiconductor devices
US20150008566A1 (en) * 2013-07-02 2015-01-08 Texas Instruments Incorporated Method and structure of panelized packaging of semiconductor devices
WO2017111836A1 (en) * 2015-12-26 2017-06-29 Intel IP Corporation Package stacking using chip to wafer bonding
DE102016108000B3 (de) * 2016-04-29 2016-12-15 Danfoss Silicon Power Gmbh Verfahren zum stoffschlüssigen Verbinden einer ersten Komponente eines Leistungshalbleitermoduls mit einer zweiten Komponente eines Leistungshalbleitermoduls
US10566267B2 (en) 2017-10-05 2020-02-18 Texas Instruments Incorporated Die attach surface copper layer with protective layer for microelectronic devices
US10879144B2 (en) 2018-08-14 2020-12-29 Texas Instruments Incorporated Semiconductor package with multilayer mold
US10643957B2 (en) 2018-08-27 2020-05-05 Nxp B.V. Conformal dummy die
US11114410B2 (en) 2019-11-27 2021-09-07 International Business Machines Corporation Multi-chip package structures formed by joining chips to pre-positioned chip interconnect bridge devices
US11342246B2 (en) * 2020-07-21 2022-05-24 Qualcomm Incorporated Multi-terminal integrated passive devices embedded on die and a method for fabricating the multi-terminal integrated passive devices
CN111739840B (zh) * 2020-07-24 2023-04-11 联合微电子中心有限责任公司 一种硅转接板的制备方法及硅转接板的封装结构

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6010392A (en) * 1998-02-17 2000-01-04 International Business Machines Corporation Die thinning apparatus
JP3339838B2 (ja) * 1999-06-07 2002-10-28 ローム株式会社 半導体装置およびその製造方法
JP2001144218A (ja) * 1999-11-17 2001-05-25 Sony Corp 半導体装置及び半導体装置の製造方法
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
KR100394808B1 (ko) * 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
JP3893268B2 (ja) * 2001-11-02 2007-03-14 ローム株式会社 半導体装置の製造方法
US6867501B2 (en) 2001-11-01 2005-03-15 Rohm Co., Ltd. Semiconductor device and method for manufacturing same
US7057269B2 (en) * 2002-10-08 2006-06-06 Chippac, Inc. Semiconductor multi-package module having inverted land grid array (LGA) package stacked over ball grid array (BGA) package
JP4056854B2 (ja) * 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
JP4441328B2 (ja) * 2004-05-25 2010-03-31 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP5052130B2 (ja) * 2004-06-04 2012-10-17 カミヤチョウ アイピー ホールディングス 三次元積層構造を持つ半導体装置及びその製造方法
JP4434977B2 (ja) * 2005-02-02 2010-03-17 株式会社東芝 半導体装置及びその製造方法
JP2007180529A (ja) * 2005-12-02 2007-07-12 Nec Electronics Corp 半導体装置およびその製造方法
US20070126085A1 (en) 2005-12-02 2007-06-07 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2007317822A (ja) * 2006-05-25 2007-12-06 Sony Corp 基板処理方法及び半導体装置の製造方法
US7901989B2 (en) * 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US8035207B2 (en) * 2006-12-30 2011-10-11 Stats Chippac Ltd. Stackable integrated circuit package system with recess
KR20080068334A (ko) 2007-01-19 2008-07-23 오태성 주석 비아 또는 솔더 비아와 이의 접속부를 구비한 칩 스택패키지 및 그 제조방법
JP2008177504A (ja) * 2007-01-22 2008-07-31 Toyobo Co Ltd 半導体パッケージ
US8367471B2 (en) * 2007-06-15 2013-02-05 Micron Technology, Inc. Semiconductor assemblies, stacked semiconductor devices, and methods of manufacturing semiconductor assemblies and stacked semiconductor devices
SG149726A1 (en) * 2007-07-24 2009-02-27 Micron Technology Inc Microelectronic die packages with metal leads, including metal leads for stacked die packages, and associated systems and methods
US20100109169A1 (en) * 2008-04-29 2010-05-06 United Test And Assembly Center Ltd Semiconductor package and method of making the same
US8294280B2 (en) 2009-05-07 2012-10-23 Qualcomm Incorporated Panelized backside processing for thin semiconductors

Also Published As

Publication number Publication date
WO2010129903A1 (en) 2010-11-11
ES2900265T3 (es) 2022-03-16
KR101309549B1 (ko) 2013-09-24
EP2427909B1 (en) 2021-11-24
CN106129000A (zh) 2016-11-16
JP2012526400A (ja) 2012-10-25
KR20120018787A (ko) 2012-03-05
CN102422415B (zh) 2016-09-07
US8294280B2 (en) 2012-10-23
US20100283160A1 (en) 2010-11-11
TW201118937A (en) 2011-06-01
US20120040497A1 (en) 2012-02-16
CN102422415A (zh) 2012-04-18
EP2427909A1 (en) 2012-03-14
US9252128B2 (en) 2016-02-02

Similar Documents

Publication Publication Date Title
JP5605958B2 (ja) 薄い半導体のためのパネル化裏面処理を用いた半導体製造方法及び半導体デバイス
TWI751240B (zh) 晶片封裝結構及其製造方法
US20100314725A1 (en) Stress Balance Layer on Semiconductor Wafer Backside
US9550670B2 (en) Stress buffer layer for integrated microelectromechanical systems (MEMS)
JP5859514B2 (ja) 積層集積回路のための二面の相互接続されたcmos
JP5988939B2 (ja) 不連続な薄い半導体ウェハ表面のフィーチャ
KR20130083478A (ko) 복수의 수직으로 내장된 다이를 갖는 기판을 가진 멀티 칩 패키지 및 그 형성 프로세스
US9299660B2 (en) Controlled solder-on-die integrations on packages and methods of assembling same
US20110221053A1 (en) Pre-processing to reduce wafer level warpage
US20110012239A1 (en) Barrier Layer On Polymer Passivation For Integrated Circuit Packaging
EP2572372A1 (en) Process for improving package warpage and connection reliability through use of a backside mold configuration (bsmc)
US9741645B2 (en) Dense interconnect with solder cap (DISC) formation with laser ablation and resulting semiconductor structures and packages

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20130618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140825

R150 Certificate of patent or registration of utility model

Ref document number: 5605958

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250