JP5529912B2 - シャロートレンチアイソレーション膜のためのアミノシラン - Google Patents

シャロートレンチアイソレーション膜のためのアミノシラン Download PDF

Info

Publication number
JP5529912B2
JP5529912B2 JP2012058771A JP2012058771A JP5529912B2 JP 5529912 B2 JP5529912 B2 JP 5529912B2 JP 2012058771 A JP2012058771 A JP 2012058771A JP 2012058771 A JP2012058771 A JP 2012058771A JP 5529912 B2 JP5529912 B2 JP 5529912B2
Authority
JP
Japan
Prior art keywords
group
film
diisopropylaminosilane
mixtures
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012058771A
Other languages
English (en)
Other versions
JP2012124533A (ja
Inventor
ジェフリー ワイゲル スコット
レナード オニール マーク
ハン ビン
チェン ハンソン
シャオ マンチャオ
チャ−チェン リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012124533A publication Critical patent/JP2012124533A/ja
Application granted granted Critical
Publication of JP5529912B2 publication Critical patent/JP5529912B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/122Inorganic polymers, e.g. silanes, polysilazanes, polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1229Composition of the substrate
    • C23C18/1245Inorganic substrates other than metallic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/145Radiation by charged particles, e.g. electron beams or ion irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Description

現在、エレクトロニクス製造産業では、高アスペクト比(HAR)のトレンチをスピンオン材料で均一に満たすことに取り組んでおり、このスピンオン材料は、酸化環境のもと1000℃60分間で最小限の収縮を有し、すなわち、収縮が20%未満であり、高品質の熱酸化物を作り出し、結果として圧縮応力を有する材料が得られ、熱酸化物と同等の電気的及び機械的性質を有し、そして種々の特徴を平坦化することができる。これらの特性のすべてが、前工程(front end of the line)(FEOL)プロセスにおいて考慮されなければならない。現行の材料、ポリシラザンは、よく機能しているが、それは組成勾配を示し、かなりのガスを放出するものであり、重大な貯蔵安定性の問題があり、そして高価である。
当技術分野の関連する従来技術としては、特許文献1〜23が挙げられる。
米国特許第7,270,886号明細書 米国特許第7,192,891号明細書 米国特許第7,179,537号明細書 米国特許第7,053,005号明細書 米国特許第7,015,144号明細書 米国特許第6,706,646号明細書 米国特許第6,635,586号明細書 米国特許第6,489,252号明細書 米国特許第6,479,405号明細書 米国特許第6,432,843号明細書 米国特許第7,037,840号明細書 米国特許第6,869,860号明細書 欧州特許出願公開第1768175号明細書 欧州特許出願公開第1608012号明細書 欧州特許出願公開第1278238号明細書 欧州特許出願公開第1380612号明細書 欧州特許出願公開第1002824号明細書 欧州特許出願公開第1500685号明細書 米国特許第7,153,783号明細書 米国特許第6,167,172号明細書 米国特許出願公開第2006/0051929号明細書 米国特許出願公開第2005/0239264号明細書 米国特許第7,223,802号明細書
本発明は、記憶及び論理回路を含む半導体基材、例えば、1つ又は複数のギャップ充填可能な特徴を有するシリコンウェハにおいて使用される高アスペクト比の特徴のギャップを充填するための二酸化ケイ素含有膜のスピンオン堆積方法であって、
高アスペクト比の特徴を有する半導体基材を用意する工程、
該半導体基材をアミノシランを含む液体配合物と接触させる工程、
該半導体基材上に該液体配合物を塗布することにより膜を形成し、ギャップ充填可能な特徴を該膜でギャップ充填する工程、及び
該膜を酸化条件下において高温で加熱する工程
を含む方法である。
この方法のための組成物もまた考慮される。
本発明は、アミノシラン、例えば、ジイソプロピルアミノシラン(DIPAS)及びビス(tert−ブチルアミノ)シラン(BTBAS)を使用して、高アスペクト比(HAR)の特徴をギャップ充填するための、典型的には記憶及び論理半導体基材、例えば、1つ又は複数の集積回路構造をその上に有するシリコンウェハの前工程(FEOL)において用いられるシャロートレンチアイソレーション(STI)のための酸化条件下で二酸化ケイ素を生成することである。シャロートレンチは、トレンチがシャローと称されるにもかかわらず、特には集積回路の設計及び製造における他の電気デバイス及び/又は特徴と比較して、深さ寸法/幅寸法に基づいて高アスペクト比を有することができ、くぼみ又はトレンチの深さがその幅よりも大きく、しばしば深さが幅よりも桁違いに大きい場合があり、したがってHARの特徴を作り出す。これは、本発明の方法及び組成物に関するギャップ充填可能な特徴である。デバイスの絶縁のために用いられる二酸化ケイ素の純度は、短絡がなく、過度の漏電がなく、そして膜の適切な誘電率がデバイスの寿命にわたって維持されることを確実にするのに極めて重要である。FEOLにおける絶縁材料に関する問題によってトランジスタの故障や隣接するデバイス間のクロストークが生じ、それによって電子製品全体の性能が影響を受ける。それゆえ、溶媒の制御、化学前駆体の選択、及び膜を生成するのに用いられるプロセスは、オゾン又は熱プロセスの存在下における準常圧化学気相成長(「SACVD」)に相当する二酸化ケイ素膜、例えば、テトラエトキシシラン(TEOS)を製造するのに重要である。
本発明の組成物の利点としては以下が挙げられる。
1.新規の分子類を用いてSTI−アミノシラン、例えば、ジイソプロピルアミノシラン(DIPAS)、ビス(tert−ブチルアミノ)シラン(BTBAS)、アセトキシエチルトリス(ジメチルアミノ)シラン、ヘキサメチルシクロトリシラザン、テトライソシアナトシラン、テトラキス(ジメチルアミノ)シラン、トリス−(tert−ブチルアミノ)シラン、及びSiに直接結合したNを有しかつ本質的にポリマーではない他のアミノシランが調製される。一般式は、R(4-x)Si(NR’R”)xであることができ、式中、x=1〜4、Rはハロゲン、アルコキシ、アセトキシ、水素、及び一価の有機基のうち独立して同じであるか又は異なることができ、R’及びR”は水素及び一価の有機基のうち独立して同じであるか又は異なることができる。「一価の有機基」という用語は、本明細書で用いられる場合には、一重C結合を介してSi、N又はOなどの所定の元素に結合した有機基、すなわち、Si−C、N−C又はO−Cを含むものである。一価の有機基としては、アルキル基、アリール基、不飽和アルキル基、及び/又はアルコキシ、エステル、酸、カルボニル若しくはアルキルカルボニル官能基で置換された不飽和アルキル基が挙げられる。好ましくは、アルキル基は、1〜5個の炭素原子を有する直鎖、分枝又は環状のアルキル基であり、最も好ましくはメチル、エチル、プロピル、ブチル又はペンチル基である。アリール基としては、好ましくはフェニル、メチルフェニル、エチルフェニル及びフルオロフェニルが挙げられる。幾つかの好ましい実施態様では、アルキル基中の1つ又は複数の水素原子は、ハライド原子(すなわち、フッ素)又は酸素原子などの追加の原子で置換して、カルボニル、エステル又はエーテル官能基を与えることができる。
2.アミノシランをケイ素又はシリカの他の供給源、例えば、アルコキシシラン、クロロシラン、環状シロキサン、ジシラン、ポリシラン、環状ポリシラン、カルボシラン、環状カルボシラン、ポリカルボシラン、ケイ酸、ヒュームドシリカ、コロイド状シリカ懸濁体とともに使用して、アミノシランの加水分解によって得られる副生成物、例えば、アミンがケイ素又はシリカの他の供給源における更なる加水分解及び縮合反応を触媒して、高密度の膜を生成できるようにする。
3.高い機械強度と低いウェットエッチ速度を有する4.5〜2.3の種々の誘電率を有する高品質のSiO2様膜を生成できる。
4.生成される膜が、STIの仕様に関して、酸化条件のもと1000℃の最大温度で60分間又は高密度の膜を生成するための所望のアニーリング温度での硬化後に30%未満、より好ましくは20%未満の収縮を有する。
5.溶液の室温貯蔵安定性が求められる場合がある。溶液の安定性を判断する基準は、2週間後に液体中にゲル化又は粒子の形成がないこと、及び混合物から生成された膜の特性が変化せず、例えば、300mmのウェハ全体にわたって適切な均一性を維持し、誘電率の変化が2%よりも小さく、屈折率の変化が1.5%よりも小さく、ウェットエッチ速度における変化がなく、機械的性質における変化がないことが挙げられる。
6.膜の紫外線(UV)硬化によって材料の性能を向上させることもできる。熱+UV硬化のプロセスは、膜のサーマルバジェット(thermal budget)を制御するのに有利な場合がある。
特定の理論に束縛されることを意図するものではないが、本発明者らは、Si34のポリマー前駆体は、膜形成の際、ソフトベーク(soft bake)及び高温でのアニールの間に収縮するが、酸化物系前駆体と同程度には収縮しないと考えている。窒素の結合は3つの他の原子の結合を必要とするが、酸素は2つの結合しか必要としない。Si34ポリマーを酸化すると、膜中の窒素は酸素によって置換される。というのも、酸化物は熱力学的に好ましい相であるからである。酸素による窒素のこの置換によって、Si34ポリマーの結合が変化し、2つの窒素原子が3つの酸素原子で置換され、それによって構造体の体積が増加する。膜がソフトベークされそしてアニールされると膜において収縮が起こるが、構造的な膨張によって膜の収縮作用が中和され、正味のゼロ収縮を有することができる。これらの考察に基づいて、本発明では、比較的少量の又は最小限の量のC及びOを有する一方で、比較的多量の又は最大限の量のSi、N及びHを有する前駆体を使用することが好ましい。
本発明のアプローチは、低温で高密度の酸化物を形成する分子、例えば、DIPAS及びBTBASを使用することである。このような分子の加水分解化学や水分に対するそれらの反応性を用いて、本発明の混合物を触媒又は自己触媒して種々の膜を作り出すことができ、酸化環境においてソフトベークされ1000℃でアニールされた場合に、4.0〜2.3の誘電率を有する膜が得られる。その場(in situ)アミン触媒により、本発明の方法においてアミノシランとともに種々のアルコキシ及びアセトキシシランを使用することが可能となり、膜の酸素/窒素含有量を調整して、FEOL用途において使用するための所望の膜硬度、誘電率、ウェットエッチ速度、二酸化ケイ素の純度、及びギャップ充填特性に達することができるようにされる。アミノシランの多くは水分に対して非常に反応性であるので、本発明の方法では、シランを加水分解するが、混合物を完全にゲル化するほどには反応性でない溶媒を使用することが好ましい。本発明の溶媒は、300mmのウェハ全体にわたって均一な膜を調製し、特徴のギャップを充填し、そして混合物によって任意のパッシベーション層、例えば、CVD窒化ケイ素又はケイ素酸化物の十分な濡れを可能にするのに適切な沸点、表面張力、及び粘度を有することが好ましい。グリコールエーテル、アルコール(C2〜C6)、グリコールエーテルアセテート、エステル、アミン、アミド、ケトンは、良好な均一性を有する適切な膜を調製する。特には、エタノール、イソプロパノール、プロパノール及びペンタノールは優れた溶媒である。
このアプローチを用いて、本発明者らにより、1000℃での硬化後に亀裂が生じない妥当な機械的性質、低い誘電率、水:HFが100:1における低いウェットエッチ速度を有する純粋なSiO2を調製することができた。溶液の粘度は低く、それゆえギャップ充填の問題は最小限に抑えられる。
本発明の混合物は、1つ又は複数のアミノシラン及び/又はアルコキシシランを高密度ポリエチレン(HDPE)、ガラス又はテフロン(登録商標)の容器に加えることによって作成される。次いで、アミノシランがあまり速く加水分解しないように溶媒をゆっくりと添加する。加水分解によって発生する如何なる熱も、さらなる処理の前に放散されるべきである。次いで、溶液は一晩熟成される。典型的には、2つのウェハ、すなわち、電気計測のための低い抵抗のウェハ(0.01〜0.02Ω)、並びにフーリエ変換赤外分光(FTIR)、機械的及びウェット化学エッチングのための高い抵抗のウェハ(50Ω以上)を溶液から回転させた。約2mlの溶液を0.5μmのテフロン(登録商標)フィルターを通して開放型のボウル構成において500rpmで回転するウェハ上に直接的に10秒間投与した。投与が完了した後、ウェハを3500rpmに30秒間加速して膜を乾燥させた。回転条件を変更して膜の厚さを変化させることができる。膜はホットプレート上で空気中においてソフトベークされる。ソフトベークの条件は、熱、UV、電子ビーム、プラズマ、単色光、及びX線であることができ、不活性、真空(減圧)、酸化又は還元雰囲気下で行うことができる。典型的に実施されるソフトベーク温度及び時間は、90℃(90秒)、180℃(90秒)及び400℃(180秒)である。好ましくは、膜は50〜500℃のソフトベーク温度で硬化される。ウェハは炉においてアニールされ、10℃/分で1000℃まで昇温される。好ましくは、ウェハ上の膜は、500〜1500℃の温度でアニールされる。アニール条件は、熱、UV、電子ビーム、プラズマ、単色光、及びX線であることができ、不活性、真空(減圧)、酸化又は還元雰囲気下で行うことができる。好ましくは、ウェハは、不活性、乾燥酸化又はスチーム雰囲気下で炉において熱アニールされる。ウェハは700〜1000℃の温度で保持される。
膜厚、屈折率(RI)及び240nmでの吸光係数は、Film−Tek反射率計により、Film−Tek 2000ソフトウェアを用いて測定され、データがモデル化される。
誘電率は、ASTM規格D150−98に従って決定した。各膜のキャパシタンス−電圧は、Solartron Model SI 1260 Frequency Analyzer、及びMSI Electronics Model Hg 401の単接点水銀プローブを用いて1MHzで得た。キャパシタンスの測定値及び水銀電極面積(A)における誤差は1%未満であった。基材(ウェハ)のキャパシタンス(CSi)、バックグラウンドキャパシタンス(Cb)及び合計キャパシタンス(CT)は+20〜−20Vで測定し、薄膜試料のキャパシタンス(CS)は以下の式によって算出した。
S=CSi(CT−Cb)/[CSi−(CT−Cb)]
膜の誘電率は以下の式により算出し、式中、dは膜厚、Aは水銀電極面積、ε0は真空中の誘電率である。
ε=Csd/ε0
膜の誘電率の合計誤差は6%未満であると予測した。
各膜の弾性率は、ウェハの中央から剥がし、ニューヨーク州、バレーコテージのArmco Products社によって製造されている低融解温度の接着剤、CRYSTALBOND(登録商標)を用いてアルミニウムスタブ上に取り付けた1×0.4cm2の試料から採用した。押込み試験は、Oliverらの「An improved technique for Determining Hardness and Elastic Modulus Using Load and Displacement Sensing Indentation Experiments」,J.Material Research,1992,7[6],pp.1564−1583の参考文献に記載されている連続剛性測定(「CSM」)法を用いて、ACCUTIP(商標)Berkovichダイヤモンドチップを備えた、MTS Systems Corporationにより製造されているNANOINDENTER(登録商標)Dynamic Contact Module(DCM)によって実施した。主要な荷重信号の上に小さな振動が重なり、結果として得られた系の応答は、特定周波数増幅器を用いて分析した。励起周波数は、75Hzで試験を通して一定に保ち(DCM)、励起振幅は、結果として得られる変位振幅が1nmで一定のままであるように調節した(DCM)。
各押込み試験は、接触剛性Sの連続測定を可能にした。Sのダイナミック測定、並びにヤング率及び硬度のための既定の式(ポアソン比=シリカに関して0.18、低k膜に関して0.25)を用いて、すべての個々の押込み試験は、表面針入度(surface penetration)の連続関数としてヤング率及び硬度を得た。各試料上に5〜10のくぼみを配列させ、連続したくぼみは、約20〜25μmの距離で隔てた。各押込み試験から得られた結果を吟味し、すべての「外れ値」を排除した。各試料の押込み試験の針入度に対するヤング率及び硬度についての結果を、約5nmの離散変位ウィンドーを用いて平均した。次いで、このウィンドーのデータを用いて、各試料の平均、標準偏差、及び信頼区間を算出した。同じ統計を離散ウィンドーの残りについて同様に算出した。硬度の結果を得て同様に平均した。硬度及びヤング率は、硬度曲線の最低点(約30〜50nm)での硬度測定値として、及びヤング率曲線の最低点(約30〜50nm)でのヤング率測定値として報告した。膜のヤング率及び硬度の誤差は10%未満であると予測される。
ウェット化学エッチ速度は、ソフトベークされそしてアニールされた膜を、HF:水が1:100の溶液に幾つかの異なる時間でさらすことにより行った。試料を一定期間ごとに取り出して膜厚を測定した。膜厚を曝露時間に対してプロットした。データの直線の勾配、典型的には線形近似が適切であり、それによって材料のエッチ速度が決定される。
膜の初期の組成を決定するために、FTIRを、Nicolet Magna FTIRにより、ブランクの高い抵抗のSiウェハをバックグラウンドとして用いて測定した。各膜の試験スペクトルは、32スキャンを用いて集め、4cm-1の分解能で集めた。すべての試験スペクトルは、ピークの面積及び高さを比較できるようにベースラインを補正して500nmに標準化した。炭化水素(3200〜2800cm-1)、SiHx(2300〜2000cm-1)、Si−CH3(1300〜1250cm-1)及びSiO(1000〜1250cm-1)のピーク面積をベースラインの補正及び標準化後に積分した。ピーク高さはSiO領域について決定した。
[例1〜14]
表1のすべての溶液は同様に混合した。まず、1つ又は複数のシリカ源をボトルに加えた。次いで、熱の発生を最小限に抑えるために溶媒をゆっくりと加えた。ボトルを激しく振った。溶液をスピニングの前に周囲条件下で一晩熟成させた。
500rpmで7〜10秒間回転させながら、溶液(約2ml)をSiウェハ上に投与する。ウェハは1800rpmに40秒間又は膜が乾燥するまで加速される。ウェハをスピンコーターから取り出し、空気中90℃で90秒間及び400℃で180秒間ソフトベークする。次いで、膜を空気中1000℃で60分間アニールし、本質的に純粋なSiO2膜を得る。
Figure 0005529912
ソフトベーク及びアニール後の膜の特性を表2に示す。
Figure 0005529912
[例15]
触媒と、シリコンを成長シリコン含有ポリマーに取り込むための試薬との両方として他のシリカ源を使用することができる。BTBAS3.6gとDIPAS2.4gをテフロン(登録商標)又はガラスのボトルで一緒に混合する。発生する熱量を最小限に抑えるために1−プロパノール1gをアミノシラン溶液にゆっくりと加える。ボトルを激しく動かして溶液を確実に均一にする。この混合物にケイ酸0.06gを加える。溶液を一晩攪拌してケイ酸の溶解を促進させる。未溶解のケイ酸は濾過によって除去する。
500rpmで7〜10秒間回転させながら、材料(約2ml)をSiウェハ上に投与する。ウェハは1800rpmに40秒間又は膜が乾燥するまで加速される。ウェハをスピンコーターから取り出し、空気中90℃で90秒間及び400℃で180秒間ソフトベークする。次いで、膜を空気中1000℃で60分間アニールし、純粋なSiO2膜を得る。ソフトベーク後の膜の特性は、膜厚=355.7nm、RI=1.4473、収縮=11%である。1000℃のアニール後、特性は、膜厚=312.5nm、RI=1.4386、収縮=11%である。
[例16〜17:酸触媒の添加]
酸及び塩基触媒は、ゾルゲル混合物の調製の際にかなり一般的に用いられる。これらの触媒は、ケイ素含有モノマーの加水分解や縮合を助けてより高い分子量のポリマー種を形成し、そして混合物のpHを制御するのに役立つ。具体的な実施態様としては、カルボン酸及び無機酸が挙げられる。
BTBAS6.8gとDIPAS10.2gをテフロン(登録商標)又はガラスのボトルで一緒に混合する。発生する熱量を最小限に抑えるためにイソプロパノール3.5gをアミノシラン溶液にゆっくりと加える。ボトルを激しく動かして溶液を確実に均一にする。次いで、BTBAS/DIPAS溶液を50℃で4時間加熱する。溶液を23℃に冷却した後、この混合物に0.05Mの酢酸水溶液0.1gを加える。溶液を1〜2時間攪拌して混合物を周囲条件下で16〜17時間熟成させる。0.05Mのギ酸0.1gが先に用いた0.05Mの酢酸に置き換わったことを除いて、混合物の添加及び熟成の順序を繰り返す。
500rpmで7〜10秒間回転させながら、材料(約2ml)をSiウェハ上に投与する。ウェハは1800rpmに40秒間又は膜が乾燥するまで加速される。ウェハをスピンコーターから取り出し、空気中90℃で90秒間及び400℃で180秒間ソフトベークする。次いで、膜を空気中1000℃で60分間アニールし、純粋なSiO2膜を得る。
表3は、触媒としてカルボン酸を使用した2つの混合物の膜特性をまとめたものである。酸触媒を使用することで、空気中でのソフトベーク及びアニール後、より密度が高く、膜収縮がより小さい膜が得られる。
Figure 0005529912
[例18〜19:アミノシラン膜のUV硬化]
代わりとなるソフトベーク及びアニーリング技術において、異なる縮合メカニズムを活性化することによって膜の密度を改善することができる。熱的な手段以外の他の方法としては、UV、電子ビーム、X線、230nm未満の波長における単色光源、及びプラズマ(現場又は遠隔)が挙げられる。
BTBAS6.8gとDIPAS10.2gをテフロン(登録商標)又はガラスのボトルで一緒に混合する。発生する熱量を最小限に抑えるためにイソプロパノール3.5gをアミノシラン溶液にゆっくりと加える。ボトルを激しく動かして溶液を確実に均一にする。この混合物に0.05Mの塩酸水溶液0.1gを加える。次いで、混合物を70℃で2時間加熱する。溶液を周囲条件下で16〜17時間熟成させる。
500rpmで7〜10秒間回転させながら、材料(約2ml)をSiウェハ上に投与する。ウェハは1800rpmに40秒間又は膜が乾燥するまで加速される。ウェハをスピンコーターから取り出し、H+バルブ(bulb)を備えたFusionブロードバンド1300システムを用いて空気中で60秒間UV硬化する。第2のウェハを、UVの曝露時間を180秒にしたこと以外は同様にして処理する。両方の膜を空気中1000℃で60分間アニールし、純粋なSiO2膜を得る。
表4は、これらの2つの膜に関する膜特性を示す。UV硬化の時間をより長くすることで、膜はより低い温度でより大きく収縮するが、1000℃でのアニーリング後、膜の収縮は熱的に処理された膜よりもかなり小さく、それにもかかわらず依然として高品質のSiO2が得られる。
Figure 0005529912
[例20:網状構造形成シリカ試薬]
本例は、膜密度の増加を助けるための網状構造形成分子の使用を示すものである。1,3,5,7−テトラメチルシクロテトラシロキサン(TMCTS)を本例で使用するが、3つ以上のヒドロキシル、アルコキシ、ヒドリド、又はハロゲン官能基を介してシロキサン架橋を作り出すことができる任意のSi含有モノマーを使用してTMCTSと同じ網状構造を達成することができる。他の網状構造形成体の例としては、テトラエトキシシラン、テトラアセトキシシラン、テトラクロロシラン、フェニルトリエトキシシラン、メチルトリアセトキシシラン、トリエトキシシラン、エチルトリエトキシシラン、ヘキサエトキシジシラン、ヘキサエトキシジシロキサン、1,3,5−トリシラシクロヘキサン、及びジエトキシメチルシランが挙げられる。ここに挙げたものはすべてを包含するものではない。
BTBAS3.8gとDIPAS2.4gをテフロン(登録商標)又はガラスのボトルで一緒に混合する。発生する熱量を最小限に抑えるために1−プロパノール1gをアミノシラン溶液にゆっくりと加える。ボトルを激しく動かして溶液を確実に均一にする。この混合物にTMCTS0.7gを加える。溶液を2時間攪拌した後、一晩熟成させる。
500rpmで7〜10秒間回転させながら、材料(約2ml)をSiウェハ上に投与する。ウェハは1800rpmに40秒間又は膜が乾燥するまで加速される。ウェハをスピンコーターから取り出し、空気中90℃で90秒間及び400℃で180秒間ソフトベークする。次いで、膜を空気中1000℃で60分間アニールし、純粋なSiO2膜を得る。ソフトベーク後の膜の特性は、膜厚=195.4nm、RI=1.405、収縮は11%未満である。1000℃のアニール後、特性は、膜厚=165.4nm、RI=1.4642、収縮=15%である。
アミノシランをアルコキシシランとともに使用することができ、例えば、この混合物は、ビス(tert−ブチルアミノ)シラン及びジイソプロピルアミノシランからなる第1の群より選択されるアミノシランと、ジイソプロピルアミノシラン、トリエトキシシラン、テトラアセトキシシラン、テトラエチルオルソシリケート、テトラメトキシシラン、テトラプロポキシシラン、フェニルトリエトキシシラン、フェニルトリアセトキシシラン、フェニルトリメトキシシラン、メチルトリエトキシシラン、メチルアセトキシシラン、フェニルトリメトキシシラン、エチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリアセトキシシラン、ヘキサエトキシジシラン、ヘキサメトキシジシラン、及びそれらの混合物からなる群より選択される第2の群の化学物質とを含み、第1の群のアミノシランがジイソプロピルアミノシランである場合には、第2の群の化学物質はジイソプロピルアミノシランではない。
本発明は、選択された実施態様を参照して説明されたが、本発明の完全な範囲は、化学気相成長(CVD)酸化ケイ素前駆体、典型的なスピンオン酸化ケイ素前駆体、アミノシラン、酸化ケイ素を生成することができる誘電回復(dielectric restoration)化学前駆体、さらには適切な触媒、水、溶媒、添加剤(界面活性剤、流動添加剤)であって、アミノシラン、アセトキシシラン、アルコキシシランと、開放型ボウル構成において有用な沸点(130〜170℃)を有する溶媒との混合物を用いて酸化ケイ素膜を堆積させるための製品に配合することができるものを包含し、溶液全体はHARトレンチのギャップを充填できるように低い粘度を有し、溶液は「Sixy」及び「SiO2」膜を等しく濡らすことができ、パターニングされた特徴の平坦化を促進するためのギャップ充填後の堆積/硬化の際に中程度の分子量の重合を可能にすると解されるべきである。
膜特性は以下のとおりである。
熱、UV又は化学処理による硬化で、膜は以下の特性を有する。
1.純粋なSiO2が得られる。すなわち、膜は炭素又は窒素種を含まない。
2.酸化環境において1000℃で60分間硬化した後、収縮が25%以下である。
3.誘電率が2.0〜4.0である(3.0未満の誘電率は1000℃で硬化した溶液処理膜では予想もされないものである)。
4.100:1のDHF溶液によるウェット化学エッチ速度が熱酸化物と同等である(31Å/分)。
5.モジュラス及び硬度が熱酸化物と同等である。
6.膜の応力が圧縮性であり、引張性ではない。
7.高温でのガス放出が少ない。

Claims (10)

  1. 記憶又は論理回路を含む半導体ウェハにおいて使用される前工程のために使用されるシャロートレンチアイソレーション構造中の高アスペクト比の特徴のギャップを充填するための二酸化ケイ素含有膜のスピンオン堆積方法であって、
    高アスペクト比の特徴を有する半導体ウェハを用意する工程、
    該半導体ウェハ上に液体配合物を投与する工程であって、該配合物が、ビス(tert−ブチルアミノ)シラン及びジイソプロピルアミノシランからなる群より選択されるアミノシランと、グリコールエーテル、アルコール、グリコールエーテルアセテート、エステル、アミン、アミド、ケトン、及びそれらの混合物からなる群より選択される溶媒とを含む工程、
    前記半導体ウェハを回転させて前記液体配合物を該半導体ウェハ上に塗布することによって該半導体ウェハ上に膜を形成し、前記高アスペクト比の特徴を該膜で充填する工程、
    該膜を50〜500℃のソフトベーク温度で硬化する工程、及び
    該膜を酸化条件下において500〜1500℃の温度でアニールする工程
    を含む方法。
  2. 前記液体配合物が、ビス(tert−ブチルアミノ)シラン及びジイソプロピルアミノシランからなる第1の群より選択されるアミノシランと、ジイソプロピルアミノシラン、トリエトキシシラン、テトラアセトキシシラン、テトラエチルオルソシリケート、テトラメトキシシラン、テトラプロポキシシラン、フェニルトリエトキシシラン、フェニルトリアセトキシシラン、フェニルトリメトキシシラン、メチルトリエトキシシラン、メチルアセトキシシラン、フェニルトリメトキシシラン、エチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリアセトキシシラン、ヘキサエトキシジシラン、ヘキサメトキシジシラン、及びそれらの混合物からなる群より選択される第2の群の化学物質とを含み、前記第1の群のアミノシランがジイソプロピルアミノシランである場合には、前記第2の群の化学物質がジイソプロピルアミノシランではない、請求項1に記載の方法。
  3. 前記溶媒が、エタノール、イソプロパノール、プロパノール、ペンタノール、及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  4. 膜の形成が触媒される、請求項1に記載の方法。
  5. 膜の形成が、塩酸、硝酸、ギ酸、酢酸、マレイン酸、シュウ酸、及びそれらの混合物からなる群より選択される触媒で触媒される、請求項4に記載の方法。
  6. 堆積された二酸化ケイ素含有膜がUVエネルギーを用いてさらに硬化される、請求項1に記載の方法。
  7. ビス(tert−ブチルアミノ)シラン及びジイソプロピルアミノシランからなる第1の群より選択されるアミノシランと、ジイソプロピルアミノシラン、トリエトキシシラン、テトラアセトキシシラン、テトラエチルオルソシリケート、テトラメトキシシラン、テトラプロポキシシラン、フェニルトリエトキシシラン、フェニルトリアセトキシシラン、フェニルトリメトキシシラン、メチルトリエトキシシラン、メチルアセトキシシラン、フェニルトリメトキシシラン、エチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリアセトキシシラン、ヘキサエトキシジシラン、ヘキサメトキシジシラン、及びそれらの混合物からなる群より選択される第2の群の化学物質と、溶媒とを含み、前記第1の群のアミノシランがジイソプロピルアミノシランである場合には、前記第2の群の化学物質がジイソプロピルアミノシランではない、二酸化ケイ素堆積物のギャップ充填に有用なスピンオン組成物。
  8. 前記溶媒が、グリコールエーテル、アルコール、グリコールエーテルアセテート、エステル、アミン、アミド、ケトン、及びそれらの混合物からなる群より選択される、請求項7に記載の組成物。
  9. 塩酸、硝酸、ギ酸、酢酸、マレイン酸、シュウ酸、及びそれらの混合物からなる群より選択される触媒を含む、請求項7に記載の組成物。
  10. ビス(tert−ブチルアミノ)シラン及びジイソプロピルアミノシランからなる第1の群より選択されるアミノシランと、ジイソプロピルアミノシラン、トリエトキシシラン、テトラアセトキシシラン、テトラエチルオルソシリケート、テトラメトキシシラン、テトラプロポキシシラン、フェニルトリエトキシシラン、フェニルトリアセトキシシラン、フェニルトリメトキシシラン、メチルトリエトキシシラン、メチルアセトキシシラン、フェニルトリメトキシシラン、エチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリアセトキシシラン、ヘキサエトキシジシラン、ヘキサメトキシジシラン、及びそれらの混合物からなる群より選択される第2の群の化学物質と、
    グリコールエーテル、アルコール、グリコールエーテルアセテート、エステル、アミン、アミド、ケトン、及びそれらの混合物からなる群より選択される溶媒と、
    塩酸、硝酸、ギ酸、酢酸、マレイン酸、シュウ酸、及びそれらの混合物からなる群より選択される触媒と
    を含み、前記第1の群のアミノシランがジイソプロピルアミノシランである場合には、前記第2の群の化学物質がジイソプロピルアミノシランではない、二酸化ケイ素堆積物のギャップ充填に有用なスピンオン組成物。
JP2012058771A 2008-07-11 2012-03-15 シャロートレンチアイソレーション膜のためのアミノシラン Expired - Fee Related JP5529912B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8005808P 2008-07-11 2008-07-11
US61/080,058 2008-07-11
US12/492,201 2009-06-26
US12/492,201 US7999355B2 (en) 2008-07-11 2009-06-26 Aminosilanes for shallow trench isolation films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009163849A Division JP5043894B2 (ja) 2008-07-11 2009-07-10 シャロートレンチアイソレーション膜のためのアミノシラン

Publications (2)

Publication Number Publication Date
JP2012124533A JP2012124533A (ja) 2012-06-28
JP5529912B2 true JP5529912B2 (ja) 2014-06-25

Family

ID=41211882

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009163849A Expired - Fee Related JP5043894B2 (ja) 2008-07-11 2009-07-10 シャロートレンチアイソレーション膜のためのアミノシラン
JP2012058771A Expired - Fee Related JP5529912B2 (ja) 2008-07-11 2012-03-15 シャロートレンチアイソレーション膜のためのアミノシラン

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009163849A Expired - Fee Related JP5043894B2 (ja) 2008-07-11 2009-07-10 シャロートレンチアイソレーション膜のためのアミノシラン

Country Status (6)

Country Link
US (1) US7999355B2 (ja)
EP (1) EP2144279A3 (ja)
JP (2) JP5043894B2 (ja)
KR (1) KR101183412B1 (ja)
CN (1) CN101624698B (ja)
TW (1) TWI508172B (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3330404B1 (en) * 2011-06-03 2021-09-29 Versum Materials US, LLC Compositions and processes for depositing carbon-doped siliconcontaining films
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
KR101361454B1 (ko) * 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
JP5281188B1 (ja) * 2012-11-26 2013-09-04 有限会社 ナプラ 絶縁性ペースト、電子デバイス及び絶縁部形成方法
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
DE102013007671A1 (de) * 2013-05-03 2014-11-06 Siltectra Gmbh Verfahren zur Herstellung eines Wafers mit Trägereinheit
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
JP7101191B2 (ja) * 2017-04-07 2022-07-14 アプライド マテリアルズ インコーポレイテッド アモルファスシリコン間隙充填を改善するための表面改質
JP2019220494A (ja) * 2018-06-15 2019-12-26 株式会社Adeka 膜形成用組成物、膜付基板、その製造方法及び薄膜の製造方法
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
CN109266181A (zh) * 2018-09-28 2019-01-25 湖南凯斯利新材料有限公司 一种耐高温水性无机纳米陶瓷改性环氧涂料及其制备方法
CN115851004B (zh) * 2021-09-24 2023-12-12 宝山钢铁股份有限公司 一种耐热刻痕型取向硅钢涂层用涂液、取向硅钢板及其制造方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1943176A (en) * 1930-09-27 1934-01-09 Chem Ind Basel Cellulose solution
US2990233A (en) * 1956-12-31 1961-06-27 Textile Res Inst After-glow suppressing cellulose derivatives
US4174976A (en) * 1978-03-08 1979-11-20 Purdue Research Foundation Acid hydrolysis of cellulose to yield glucose
US4260685A (en) * 1979-08-02 1981-04-07 Mark Pilipski Saccharification of cellulose
BR8806902A (pt) * 1987-04-16 1989-10-31 Christian Bindschaedler Processo para preparacao de um po de polimero insoluvel em agua que pode ser redispersado em uma fase liquida,po resultante e sua utilizacao
KR910008980B1 (ko) 1988-12-20 1991-10-26 현대전자산업 주식회사 자외선을 이용한 s.o.g 박막 경화 방법
KR950000665B1 (ko) 1989-10-31 1995-01-27 금성정보통신 주식회사 무선송신기의 킹신호 송출회로
US5372939A (en) * 1991-03-21 1994-12-13 The United States Of America As Represented By The United States Department Of Energy Combined enzyme mediated fermentation of cellulous and xylose to ethanol by Schizosaccharoyces pombe, cellulase, β-glucosidase, and xylose isomerase
US5451655A (en) 1994-05-27 1995-09-19 International Business Machines Corporation Process for making thermostable coating materials
US5492736A (en) 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
TW285753B (ja) 1995-01-04 1996-09-11 Air Prod & Chem
US5916780A (en) * 1997-06-09 1999-06-29 Iogen Corporation Pretreatment process for conversion of cellulose to fuel ethanol
JP4030625B2 (ja) 1997-08-08 2008-01-09 Azエレクトロニックマテリアルズ株式会社 アミン残基含有ポリシラザン及びその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6167172A (en) 1999-03-05 2000-12-26 Trw Inc. Tapered amplitude optical absorber for waveguide photodetectors and electro-absorption modulators
US6153261A (en) 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
JP5020425B2 (ja) 2000-04-25 2012-09-05 Azエレクトロニックマテリアルズ株式会社 微細溝をシリカ質材料で埋封する方法
US7053005B2 (en) 2000-05-02 2006-05-30 Samsung Electronics Co., Ltd. Method of forming a silicon oxide layer in a semiconductor manufacturing process
KR100362834B1 (ko) 2000-05-02 2002-11-29 삼성전자 주식회사 반도체 장치의 산화막 형성 방법 및 이에 의하여 제조된 반도체 장치
AU2001293571A1 (en) * 2000-09-25 2002-04-02 Iogen Energy Corporation Method for glucose production with a modified cellulase mixture
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
AU2002211798A1 (en) * 2000-10-20 2002-05-06 Michigan State University Transgenic plants containing ligninase and cellulase which degrade lignin and cellulose to fermentable sugars
KR100351506B1 (en) 2000-11-30 2002-09-05 Samsung Electronics Co Ltd Method for forming insulation layer of semiconductor device
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
KR100354441B1 (en) 2000-12-27 2002-09-28 Samsung Electronics Co Ltd Method for fabricating spin-on-glass insulation layer of semiconductor device
JP3989184B2 (ja) 2001-03-30 2007-10-10 Azエレクトロニックマテリアルズ株式会社 ケイ素含有共重合ポリマー及びその製造方法
US6808557B2 (en) * 2001-10-03 2004-10-26 The University Of Alabama Cellulose matrix encapsulation and method
US6824599B2 (en) * 2001-10-03 2004-11-30 The University Of Alabama Dissolution and processing of cellulose using ionic liquids
JPWO2003087228A1 (ja) 2002-04-12 2005-08-18 Azエレクトロニックマテリアルズ株式会社 ケイ素含有共重合ポリマー組成物、溶剤可溶性架橋ケイ素含有共重合ポリマー及びこれらの硬化物
JP2003313299A (ja) 2002-04-22 2003-11-06 Seiko Epson Corp 高次シラン組成物及び該組成物を用いたシリコン膜の形成方法
KR100503527B1 (ko) 2003-02-12 2005-07-26 삼성전자주식회사 퍼하이드로 폴리실라잔을 포함하는 반도체 소자 제조용조성물 및 이를 이용한 반도체 소자의 제조방법
JP2004273519A (ja) 2003-03-05 2004-09-30 Clariant (Japan) Kk トレンチ・アイソレーション構造の形成方法
US6869860B2 (en) 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
AU2003904323A0 (en) * 2003-08-13 2003-08-28 Viridian Chemical Pty Ltd Solvents based on salts of aryl acids
FI115835B (fi) * 2003-08-15 2005-07-29 Kemira Oyj Liuotusmenetelmä
WO2005019185A1 (en) * 2003-08-26 2005-03-03 Ecole Polytechnique Federale De Lausanne (Epfl) Ionic liquids based on imidazolium salts incorporating a nitrile functionality
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100611115B1 (ko) 2003-11-11 2006-08-09 삼성전자주식회사 스핀온글래스 조성물 및 이를 이용한 실리콘 산화막형성방법
US7037840B2 (en) 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
EP1718532B1 (en) * 2004-02-27 2016-04-06 Bouldin Corp. Material injection assembly
US7888412B2 (en) * 2004-03-26 2011-02-15 Board Of Trustees Of The University Of Alabama Polymer dissolution and blend formation in ionic liquids
US20050239264A1 (en) 2004-04-21 2005-10-27 Honeywell International Inc. Materials suitable for shallow trench isolation
JP2005347636A (ja) 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
EP1783185B1 (en) 2004-08-26 2009-11-11 Idemitsu Kosan Co., Ltd. Coating composition and resin multilayer body
US20060051929A1 (en) 2004-09-03 2006-03-09 Honeywell International Inc. Electrical properties of shallow trench isolation materials via high temperature annealing in the presence of reactive gases
JP5161571B2 (ja) * 2004-09-15 2013-03-13 ハネウェル・インターナショナル・インコーポレーテッド 処理剤物質
GB0500029D0 (en) * 2005-01-04 2005-02-09 Univ Belfast Basic ionic liquids
JP4697858B2 (ja) * 2005-02-28 2011-06-08 株式会社雪国まいたけ キノコ廃菌床の前処理及びその利用による糖、エタノールへの変換方法
DE102005017733A1 (de) * 2005-04-15 2006-10-19 Basf Ag Löslichkeit von Cellulose in ionischen Flüssigkeiten unter Zugabe von Aminbase
DE102005017715A1 (de) * 2005-04-15 2006-10-19 Basf Ag Lösungen von Cellulose in ionischen Flüssigkeiten
JP5091428B2 (ja) * 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
KR20080036184A (ko) * 2005-06-29 2008-04-25 더 유니버시티 오브 알라바마 고체 지지체 매트릭스로서 이온성 액체 재구성된셀룰로오스 복합재
AR054553A1 (es) * 2005-07-12 2007-06-27 Dsm Ip Assets Bv Enzimas novedosas para utilizar en el blanqueado enzimatico de productos alimenticios
BRPI0614869A2 (pt) * 2005-08-16 2012-12-04 Novozymes As polipeptìdeos funcionais, polipeptìdeo maduro funcional isolado, enzima, composição, método para preparar uma composição, construto de ácido nucleico, vetor de expressão recombinante, célula hospedeira recombinante, método para produzir o polipeptìdeo, meio de armazenagem, e , processo
JP2007088260A (ja) * 2005-09-22 2007-04-05 Jsr Corp 積層体およびその形成方法、絶縁膜、ならびに半導体装置
CA2631021A1 (en) * 2005-11-23 2007-10-25 Natureworks Llc Process for fractionating lignocellulosic biomass into liquid and solid products
ES2414437T3 (es) * 2005-12-23 2013-07-19 Basf Se Sistema de solución a base de líquidos iónicos fundidos, su producción así como empleo para la producción de carbohidratos regenerados
DE102006011075A1 (de) * 2006-03-08 2007-09-13 Basf Ag Verfahren zum Abbau von Cellulose in Lösung
US20090020112A1 (en) * 2006-03-08 2009-01-22 Basf Se Method for breaking down cellulose
US7886577B2 (en) * 2006-03-30 2011-02-15 Oakland University Devices with surface bound ionic liquids and method of use thereof
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
EP1860201A1 (en) * 2006-05-25 2007-11-28 BP p.l.c. Conversion method
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
CN101012387B (zh) * 2007-02-01 2011-05-11 淮北中润生物能源技术开发有限公司 高效纤维素生物质生产液体燃料工艺
WO2008098036A1 (en) * 2007-02-06 2008-08-14 North Carolina State University Product preparation and recovery from thermolysis of lignocellulosics in ionic liquids
WO2008098037A2 (en) * 2007-02-06 2008-08-14 North Carolina State University Polymer derivatives and composites from the dissolution of lignocellulosics in ionic liquids
WO2008098032A2 (en) * 2007-02-06 2008-08-14 North Carolina State University Use of lignocellulosics solvated in ionic liquids for production of biofuels
US7674608B2 (en) * 2007-02-23 2010-03-09 The University Of Toledo Saccharifying cellulose
US8030030B2 (en) * 2007-03-14 2011-10-04 The University Of Toledo Biomass pretreatment
US8276664B2 (en) * 2007-08-13 2012-10-02 Baker Hughes Incorporated Well treatment operations using spherical cellulosic particulates
US20090084509A1 (en) * 2007-09-28 2009-04-02 Weyerhaeuser Company Dissolution of Cellulose in Mixed Solvent Systems
WO2009045527A1 (en) * 2007-10-03 2009-04-09 Michigan State University Improved process for producing sugars and ethanol using corn stillage
US8188267B2 (en) * 2008-02-13 2012-05-29 Eastman Chemical Company Treatment of cellulose esters
US8598378B2 (en) * 2008-03-14 2013-12-03 University Of Hawaii Methods and compositions for extraction and transesterification of biomass components
CN102027021B (zh) * 2008-03-14 2014-03-26 弗吉尼亚暨州立大学知识产权公司 使用超纤维素溶剂和高挥发性溶剂预处理木质纤维素的方法和装置

Also Published As

Publication number Publication date
JP2010021551A (ja) 2010-01-28
KR20100007814A (ko) 2010-01-22
TW201003785A (en) 2010-01-16
EP2144279A3 (en) 2012-07-18
CN101624698A (zh) 2010-01-13
EP2144279A2 (en) 2010-01-13
KR101183412B1 (ko) 2012-09-17
CN101624698B (zh) 2013-09-18
TWI508172B (zh) 2015-11-11
JP5043894B2 (ja) 2012-10-10
US20100009546A1 (en) 2010-01-14
JP2012124533A (ja) 2012-06-28
US7999355B2 (en) 2011-08-16

Similar Documents

Publication Publication Date Title
JP5529912B2 (ja) シャロートレンチアイソレーション膜のためのアミノシラン
KR100613682B1 (ko) 저 유전 물질 함유 용매를 제조하기 위한 조성물
JP4125637B2 (ja) 低誘電率材料及びその製造方法
US6318124B1 (en) Nanoporous silica treated with siloxane polymers for ULSI applications
TWI237855B (en) Silica-based organic film and method of manufacturing the same, and base material comprising organic film
US6610145B2 (en) Deposition of nanoporous silica films using a closed cup coater
JP2004161601A (ja) 低誘電率材料を調製するための組成物
US20070099005A1 (en) Thick crack-free silica film by colloidal silica incorporation
WO2004044074A1 (ja) 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
TW559946B (en) Process for producing semiconductor substrates and semiconductor substrates
US20090305063A1 (en) Composition for forming siliceous film and process for producing siliceous film from the same
KR20080007192A (ko) 박막 트랜지스터를 위한 유전체 또는 평탄화 층으로서 낮은온도 졸-젤 실리케이트
JP2006265350A (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
KR101536356B1 (ko) 실리카계 피막 형성용 도포액, 그 조제방법 및 상기 도포액으로부터 얻어지는 실리카계 절연막
JP2004536924A (ja) シロキサン樹脂
JP2004292641A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004307693A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP4257141B2 (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
US20030152784A1 (en) Process for forming hydrogen silsesquioxane resins
KR101940171B1 (ko) 실리카 막의 제조방법, 실리카 막 및 전자소자
JPH10316935A (ja) シリカ被膜形成用塗布液

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120323

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140318

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140417

R150 Certificate of patent or registration of utility model

Ref document number: 5529912

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees