JP5416700B2 - 配置配線システムにおける設計最適化のためのフィラーセル - Google Patents

配置配線システムにおける設計最適化のためのフィラーセル Download PDF

Info

Publication number
JP5416700B2
JP5416700B2 JP2010528013A JP2010528013A JP5416700B2 JP 5416700 B2 JP5416700 B2 JP 5416700B2 JP 2010528013 A JP2010528013 A JP 2010528013A JP 2010528013 A JP2010528013 A JP 2010528013A JP 5416700 B2 JP5416700 B2 JP 5416700B2
Authority
JP
Japan
Prior art keywords
cell
layout
filler
circuit
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010528013A
Other languages
English (en)
Other versions
JP2010541280A (ja
Inventor
シ−ウェイ リン,
ジ−チゥエン, フランク リー,
ディパンカール プラマニク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of JP2010541280A publication Critical patent/JP2010541280A/ja
Application granted granted Critical
Publication of JP5416700B2 publication Critical patent/JP5416700B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Software Systems (AREA)

Description

本発明は、集積回路の性能をレイアウトの応力工学によって改善するための方法、システム、及び、それによって製造される製品に関する。
シリコン、ゲルマニウム等の半導体材料が圧電効果(機械的応力によって誘発される電気抵抗の変化)を示すことは以前より知られている。例えば、参照することによって本願に援用される、C.S.Smith、“Piezoresistance effect in germanium and silicon”、Phys.Rev.、vol.94、pp.42−49(1954年)を参照のこと。この圧電効果は、ある種の圧力センサーや歪みゲージの基礎となるものであるが、集積回路の製造において注目を集めるようになったのは最近のことである。集積回路の製造において、機械的応力の主な発生源の1つは、使用される材料間の膨張差及び収縮差である。例えば、典型的な製造技術では、1又は複数のトランジスタからなるトランジスタ群の活性領域を、シリコンをエッチングして酸化物等の絶縁体で充填したシャロー・トレンチ・アイソレーション(STI)領域で囲むことによって、電気的に分離させる。絶縁体の充填は高温で行われる。続いて、ウェハを冷却している間に、酸化物の収縮率が周囲のシリコンの収縮率よりも低いため、当該装置のシリコン領域で圧縮応力のかかった状態が水平方向に発達する。ここで重要となるのは、金属酸化膜半導体電界効果トランジスタ(MOSFET)を形成するシリコンにSTI領域が及ぼす応力である。なぜなら、こうした応力の圧電効果はキャリア移動度、つまり、チャネル電流(Ion)に影響を与えるためである。一般に、チャネルでの電子の移動度が高くなればなるほど、トランジスタのスイッチング速度は速くなる。
シリコン領域にかかる応力は、応力を発生する界面からの距離の関数として急激に減衰する。したがって、従来は、プロセス技術において今日のような極めて狭いチャネル幅を生成することはできなかったが、一方では、応力に起因する性能への影響は無視することができた。なぜなら、当該影響は、(STI領域に隣接する)拡散領域の端部にしか及ばないからである。従来はチャネル領域がSTI領域から十分に離れていたため、大きな影響はなかった。しかし、プロセス技術の微細化が進むにつれて、トランジスタの性能に対する圧電効果はもはや無視できないものとなっている。
これまで、集積回路装置の挙動に対する応力の影響を、個々のトランジスタのレベルでモデル化する方法が開発されてきた。これらの方法としては、例えば、Technology Computer Aided Design(TCAD)システムを用いた大規模な解析、及び、“Length−of−Diffusion”(LOD)として知られる方法がある。後者の方法は、R.A.Bianchi他による“Accurate Modeling of Trench Isolation Induced Mechanical Stress Effects on MOSFET Electrical Performance”(IEEE IEDM Tech.Digest,117−120頁(2002年12月))、米国特許出願公報2002/0173588(2003年)、Xuemei(Jane)Xi他による“BSIM4.3.0 Model,Enhancements and Improvements Relative to BSIM4.2.1”(カリフォルニア大学バークレー校(2003年)、http://www−device.eecs.berkeley.edu/で入手可能)に記載されている。これらの参照文献は、全て参照することによって本願に援用される。
応力の影響を個々のトランジスタのレベルで解析するには様々な方法があるが、これらの方法によって特徴付けられた挙動から、後で行われる巨視的レベルでの回路解析のための装置の回路レベルのパラメータ(例えば、SPICEパラメータ)が得られる。こうした解析は、回路が意図したとおりに動作するかどうか、そしてどのくらいのマージンで動作するか、デザイン又はレイアウトを修正する必要があるかどうか等を予測するために有用である。修正が必要な場合、通常、応力解析によって予測よりも弱いことが判明したトランジスタのサイズを拡大するといった、一般的な経験則が適用される。しかし、トランジスタのサイズを拡大すれば、消費電力等の他の性能指標が低下する可能性があるため、妥協が必要となる。更に、トランジスタの性能に対する応力の影響はレイアウトに左右される。集積回路レイアウトに通常見られる不規則性によって、レイアウト全体のトランジスタ間で性能に対する影響量に差が生じるため、こうした種類の妥協は一般に、トランジスタ毎に手動で行わなければならない。更に、自動配置配線ソフトウェアを修正後の回路設計の再レイアウトに用いる場合、修正後のレイアウトが元とは異なるために応力の影響も元とは異なり、元のレイアウトにおける応力の影響を調整するために行われていた回路変更が完全に狂ってしまうこともある。
ここに記載する発明は、回路性能に対する応力の影響とその他の影響をより詳しく説明するために集積回路のレイアウト及び製造プロセスを改善する方法及びシステムを対象としている。本発明の一態様は、集積回路設計において、複数の回路レイアウトセルを相互の間に間隙を設けてレイアウトし、前記間隙の少なくとも一部の所定の間隙夫々に対して、前記所定の間隙に隣接する少なくとも1つの回路レイアウトセル(回路セル)の性能パラメータに対して所望される影響に基づいて所定のデータベースから選択された対応するフィラーセルを挿入するためのシステム及び方法である。前記回路レイアウトセルは複数行に配置され、幾つかの実施形態では、所定の間隙に対して適切なフィラーセルが、所定の間隙の両側に隣接する回路レイアウトセルの性能パラメータに対して所望される影響に基づいて選択される。所定のフィラーセルには、例えば、ダミー拡散領域、ダミーポリシリコン線、Nウェル境界の移動、エッチング停止層境界の移動が含まれる。一実施形態では、回路レイアウトセルを、選択されたフィラーセルを収容するために移動させることが可能である。
本発明に係る具体的な実施形態は、以下の図面を参照して説明される。
集積回路設計の典型的なレイアウト領域の平面図。 図1に示された線A−Aにおけるチップの断面図。 図1のレイアウトのより広い領域を示した図。 図2と同様のレイアウトの別の図。 ディジタル集積回路設計フローの概略図。 本発明の態様の実施に係る図4のステップの一部を示すフローチャート。 図1と同様の対象回路レイアウトセルの両側のフィラーセルデザインの例を示す図。 図1と同様の対象回路レイアウトセルの両側のフィラーセルデザインの例を示す図。 図1と同様の対象回路レイアウトセルの両側のフィラーセルデザインの例を示す図。 図1と同様の対象回路レイアウトセルの両側のフィラーセルデザインの例を示す図。 本発明の態様の実施に係る図4のステップの一部を示すフローチャート。 本発明の態様の実施に係る図4のステップの一部を示すフローチャート。 本発明の態様の実施に係る図4のステップの一部を示すフローチャート。 図4、5、10、11、12に示す各ステップの実施に適したコンピュータシステムの概略ブロック図。
以下の記載は、当業者が製造及び実施できるように提示され、特定の出願の文脈及びその要件において提供される。開示された実施形態への様々な変形は、当業者にとっては既に明らかであり、ここに定義される一般原則は、本発明の精神及び範囲を逸脱することなく、他の実施形態及び出願に適用される。したがって、本発明はここに示す各実施形態に限定されることを意図されておらず、ここに開示された原則及び特徴に一致する最も広い範囲が認められる。
トランジスタのチャネル領域にかかる圧縮応力は、ある種の状況においては性能を高めるが、他の状況においてはトランジスタの性能を低下させる。例えば、シリコンの単結晶の(100)平面のウェハ表面上の<110>方向に沿って電流が流れるNチャネルトランジスタのチャネルに対して、圧縮応力が縦方向又は横方向に掛かると、トランジスタのある性能パラメータが低下する。具体的には、電子及びホールの移動度が低下するため、Ion及びトランジスタのスイッチング速度が20〜30%/GPaも低下することがある。したがって、Nチャネルトランジスタのチャンネルにおける圧縮応力を低減或いは緩和するレイアウトの強化は、これらのトランジスタの性能を高めると考えられる。別の例では、Pチャネルトランジスタのチャネルに横方向に圧縮応力が掛かると、ホールの移動度が低下し、Ion及びトランジスタのスイッチング速度が70%/GPaも低下することがある。一方、Pチャネルトランジスタのチャネルに縦方向に圧縮応力が掛かると、ホールの移動度が向上し、それによってIon及びトランジスタのスイッチング速度を90%/GPaも増加させることができる。したがって、Pチャネルトランジスタのチャネルにおける横方向の圧縮応力を低減或いは緩和するレイアウトの強化と、Pチャネルトランジスタのチャネルにおける縦方向の圧縮応力を増加させるレイアウトの強化は、当該トランジスタの性能を高めることが期待される。
図1は、集積回路設計における典型的なレイアウト領域100の平面図である。図1Aは、図1に示す線A−Aにおけるチップの断面図である。図1には、2つのPチャネルトランジスタ110及び112、2つのNチャネルトランジスタ114及び116が示されている。2つのPチャネルトランジスタは、拡散領域118を共有しており、2つのNチャネルトランジスタは、別の拡散領域120を共有している。各トランジスタは、各自の拡散領域と拡散領域を横切るゲート導体によって規定されるチャネルを有する。各トランジスタのドレイン領域及びソース領域は、ゲート導体の両側にある拡散領域の部分である。但し、何れがソースで何れがドレインかは、実装された回路によって決まる。
典型的なCMOSでは、論理変換素子を形成するために、ゲート導体がP型拡散領域とN型拡散領域の両方を横切ってPチャネルトランジスタとNチャネルトランジスタの両方を定義している。したがって、図1では、ゲート導体122は、両方の拡散領域を横切ってトランジスタ110及び114を定義しており、ゲート導体124は、両方の拡散領域を横切ってトランジスタ112及び116を定義している。典型的な製造プロセスにおけるトランジスタのチャネルは、スペーサ等のゲートスタック(図示せず)の他の構成要素が追加されており、また、ゲートの下部でソースとドレインの各ドーパントが水平方向に拡散しているため、前記ゲート導体そのもの(図の左右)とは多少異なる。ここで使われている「領域」という語は、レイアウトの平面図における2次元の領域を示している。領域「における」応力とは、電流が流れる領域の表面付近の応力のことを言う。ここに述べる実施形態では、領域「における」応力は、前記領域の表面「での」応力と等しいと考える。別の実施形態では、表面下の深奥部での応力を含めてチップの体積内における応力も考慮される。
ここで使用されている、また、図1に示されているトランジスタの「縦」方向とは、トランジスタがオンの時に、ソース・ドレイン間で流れる電流の方向である。一方、「横」方向とは、縦方向に対して垂直な方向であり、電流の流れる方向に対して垂直な方向である。トランジスタの縦方向及び横方向はどちらも、「水平」方向であると考えられ、表面に対して平行な方向を意味する。他の「水平」方向には、表面に対して平行であるが、横方向及び縦方向の両方に対して角度をなして交差している方向(図示せず)が含まれる。「垂直」方向とはチャネルの表面に対して垂直な方向であり、したがって、考えられる全ての水平方向に対して垂直である。レイアウト内の構造の「長さ」とは、縦方向の長さであり、「幅」は横方向の幅を指す。図1のレイアウトから分かるように、チャネルの長さはその幅よりもかなり短い。このことは、論理回路で用いられるトランジスタの典型である。また、図1及び図1Aでは、レイアウトのX、Y、Z座標軸が示されている。主にリソグラフィーを行う時のことを考えて、論理回路設計では通常、全てのトランジスタを同じ向きに配置する。この慣例に倣い、図1のレイアウトでは、4つのトランジスタ全てが、トランジスタの縦方向がレイアウトのX方向、横方向がY方向となるように方向付けされている。図1Aに見られるZ方向は、X方向及びY方向に垂直な方向に相当し、集積回路チップの深さを表している。
更に、ここで使われる「領域」という語は、必ずしも物理的な境界を示す訳ではない。すなわち、1つの「領域」は、複数の「サブ領域」を含むことができ、「サブ領域」も同様に「領域」であると考えられる。したがって、物理的に何らかの定義がされていない領域でも、拡散領域内の領域を示すと考えるのが妥当である。図1Aでは、複数のソース拡散領域とドレイン拡散領域が1つの拡散領域全体を共有している。更に別実施形態では、ソース領域、ドレイン領域、チャネル領域は、それら全部で水平方向に拡散領域全体と同じ領域を占めている。更に別実施形態では、ソース及びドレイン拡散領域は、チャネル領域(例えばSi)とは異なる材料(例えばSiGe)からなる。これらの場合の全てにおいて、ソース拡散領域及びドレイン拡散領域は、何れも、拡散領域「の少なくとも一部」を形成していると言え、チャネル領域は、物理的に定義される前であっても存在すると言える。
また、図1は、電源拡散バス126と接地拡散バス128を示している。典型的には、金属レールがこれらの拡散バスに重畳しているが、本論は、主に、レイアウト特性の平面図に関して述べているため、参照されるものが拡散バスであっても、金属レールであっても、大して違いはない。したがって、ここでは便宜的に、バスとレールをどちらも、単に「導体」と呼ぶことにする。
図1から分かるように、各トランジスタとその拡散領域は、電源導体と接地導体の間に水平に並んで配置されている。電源導体と接地導体、及び、他の電圧の電源供給導体を、ここではまとめて「電源供給導体」と称する。
図2は、図1のレイアウトよりも広範囲の領域を示している。図2に示されているように、このレイアウトには、X方向におけるチップのほとんど又は全てに亘って延伸する電源供給レール(導体)が含まれている。このような構成は、ASIC、標準的なセル、FPGAに特別に限ったものではなく、一般的に見られる。電源供給導体126及び128(図1)は図2にも示されている。典型的な2電圧回路(電源及び接地)では、レールが電源と接地がY方向に交互に入れ替わり配置されている。論理回路のトランジスタは、一対のレール間、通常は、図2の210、212、214等の個々のセル又はマクロセル内に並んでレイアウトされている。典型的には、各セルは、Y方向には全て同じサイズであるが、X方向ではサイズが異なる。例えば、セル212は、図1に示される2つの拡散領域を持つ4つのトランジスタを備える。図2のセル214は、各拡散領域を小さな長方形で表しており、レールの間の、各拡散領域の外側の領域は酸化物を含むSTI領域である。従来では、これらすべてのSTI領域は、トランジスタのチャネル内も含めて、各拡散領域に対して、縦方向及び横方向に圧縮応力を及ぼす。伸張性のあるSTIも使用可能であり、この場合、装置に対して圧縮STIとは反対の影響を及ぼす。
図1に戻って、4つのチャネル領域に印加されるSTI誘起の圧縮応力の様々な構成要素を示すために矢印が挿入されている。この図から、応力が縦方向にも横方向にも印加されることが分かる。横方向の応力の構成要素の内幾つかは、図1Aにも示されている。上述のとおり、全てのこうした応力の構成要素は、Pチャネルトランジスタ110及び120の性能を高める傾向がある縦方向の応力を除いて、各トランジスタの性能を低下させる傾向がある。性能を高める応力の構成要素は、図1では黒塗りの矢印で示されている。特に、横向きの応力の構成要素は全て性能を低下させることは注目に値する。
図3は、図2と同様のレイアウトの別の図である。図3では、通常のようにセルが複数行に並んでおり、最初にセルを配置した後、当該レイアウトには複数の間隙が広く存在する。図3に示されたセルは、回路設計から得られるため、ここではしばしば「回路レイアウトセル」と呼ばれる。セル内のほとんどのトランジスタは、通常、縦方向が行に沿って(図3では水平方向に)長くなるような向きに配置されている。回路レイアウトセル間の間隙は、各セルと同じ行にあり、各間隙(但し、恐らく行端部の間隙は除く)は、同じ行の一対の回路レイアウトセルに隣接している。ここで使われている「隣接する」という語は、「隣にある」という意味である。2つの「隣接する」ものは、互いに接していても接していなくてもよいが、間に同じ種類のものが存在することはない。例えば、2つの特定のセルの「隣接」とは、これら2つのセルが間隙で分離されていても、これらの間に第3のセルが存在しないことを示唆している。異なる種類の2つのもの(例えば、セルと間隙)は、これらの間にどちらかの種類の他のものがない場合、ここでは、互いに「隣接」していると考える。
間隙の高さは、同一行における他のセルと同じであるが、幅はそれらとは異なる。間隙の幅は、配置配線システムによって、同システムが行に沿った回路セルの位置を最適化する時に決定される。セルの配置を最適化するにあたって従来より、回路密度の最大化、混雑回避、タイミングの考慮(2以上の信号パス間での遅延の最小化、或いは、遅延の均一化等)、配電についての考慮といった、多くの検討がなされている。配置配線システムは、考慮する因子の夫々を量子化するコスト関数を展開し、所定の優先順位に従ってそれらを重み付けするやり方で、それらを組み合わせる。そして、コスト関数を最小化する回路セルの配列を繰り返し模索する。
回路セルがレイアウト行に配置されると、典型的なシステムは、電源/接地とトランジスタウェルにおける連続性を維持するために、各間隙にフィラーセルを追加する。これらのフィラーセルの内部は空に近い状態でもよく、設計の最適化のためにダミーの機能、或いは、能動的な機能を含むこともある。従来のレイアウトでは、フィラーセルの内容は、隣接する回路セルの内容には依存しない。
システムフロー
図4は、ディジタル集積回路の設計フローの概略図である。高レベルでは、プロセスは、製品アイデアで始まり(ステップ400)、EDA(Electronic Design Automation:電子設計自動化)ソフトウェア設計プロセス(ステップ410)で実現される。設計が終了すると、製造プロセス(ステップ450)及びパッケージ・組み立てプロセス(ステップ460)を経て、最終的に集積回路チップが完成する(結果470)。
EDAソフトウェア設計プロセス(ステップ410)は、単純化のために線状に示された複数のステップ412〜430からなる。実際の集積回路設計プロセスでは、ある設計を行うには、テストに合格するまでステップを後戻りしなければならない。同様に、実際の設計プロセスでは、これらのステップの順番及び組合せが異なる。したがって、ここでは、特定の集積回路に関する具体的な、或いは、推奨される設計フローではなく、状況及び一般的な説明によって詳細を述べる。
EDAソフトウェア設計プロセス(ステップ410)の要素ステップについて簡単に説明を行う。
システム設計(ステップ412):設計者は、実装したい機能を記述し、機能の改善、コストの確認等の仮の計画を行うことができる。ハードウェアとソフトウェアの基本設計概念の分割はこの段階で行われる。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Model Architect、Saber、System Studio、Design Ware(R)がある。
ロジック設計及び機能検証(ステップ414):この段階では、VHDL又はVerilogコードでシステムのモジュールが記述され、機能の精度について設計が確認される。より具体的には、特定の入力刺激に対して正しい出力が確実に行われるように設計が確認される。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、VCS、VERA、Design Ware(R)、Magellan、Formality、ESP、LEDAがある。
合成及びテスト設計(ステップ416):ここで、VHDL/Verilogがネットリストに変換される。ネットリストは、対象となる技術に合わせて最適化することができる。更に、完成したチップを確認するためのテストが設計され、実施される。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Design Compiler(R)、Physical Compiler、Test Compiler、Power Compiler、FPGA Compiler、Tetramax、Design Ware(R)がある。
ネットリストの検証(ステップ418):このステップでは、ネットリストが、タイミング制約に従っているか、及び、VHDL/Verilogのソースコードと一致しているかについて確認する。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Formality、PrimeTime、VCSがある。
設計計画(ステップ420):ここでは、チップの全体の平面図が構成され、タイミング及び最上位の配線に関して解析が行われる。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Astro、IC Compilerがある。
物理的実装(ステップ422):このステップでは、配置(回路要素の位置決め)及び配線(回路要素の接続)が行われる。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Astro及びIC Compilerがある。本発明の幾つかの態様は、このステップ、或いは、そのすぐ後に行われる。
解析及び抽出(ステップ424):このステップでは、回路機能がトランジスタレベルで検証され、これにより順に仮定の微調整が行われる。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、AstroRail、PrimeRail、PrimeTime、StarRC/XTがある。本発明の幾つかの態様は、このステップにおいても同様に行うことができる。
物理的検証(ステップ426):このステップでは、製造、電気に関する問題、リソグラフィーに関する問題、回路に関して正確であるかを確認する様々な機能が実行される。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Herculesがある。
テープアウト(ステップ427):このステップでは、完成品のチップを製造するためにリソグラフィーに使用されるマスクの製造のための「テープアウト」データを提供する。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、CATS(R)製品群がある。
分解能向上(ステップ428):このステップは、レイアウトの幾何学的操作を行い、設計の製造可能性を向上する。このステップで使用されるシノプシス インコーポレイテッドのEDAソフトウェア製品には、例えば、Proteus、ProteusAF、PSMGenがある。
マスク準備(ステップ430):このステップでは、マスク自体の書き込みを行う。
図5は、本発明の態様の実施に係るステップ422及び424(図4)の部分を示したフローチャートである。本願における全てのフローチャートと同様に、実現される機能に影響しない範囲で、図5のステップの多くを、組合せて実施、平行して実施、或いは、異なる順番で実施することができる。図4のステップ400及び412〜420に大体対応するステップ510において、設計者は回路設計を特定する。ここで使用されている「集積回路設計」とは、VHDLからの合成後で、且つ、レイアウト前のトランジスタレベルの設計を意味する。設計者は、集積回路設計を、トランジスタレベルで特定することによって、或いは、もっと高いレベルで特定して、手動或いは自動で1以上のサブステップを経てトランジスタレベルに変換することによって、「特定」することができる。
ステップ512では、回路設計に対し「配置」処理が行われ、任意で「配線」処理も行われ、「レイアウト」が完成する。ステップ512は、大まかに言えばステップ422(図4)の一部に相当する。ここで使用される「レイアウト」は、製造プロセスで形成された時に集積回路装置の物理的特徴を定義する複数のマスクの一部を定義している。これらの特徴には、トランジスタのソース領域、ドレイン領域、チャネル領域、拡散領域、STI領域等が含まれ、これらの特徴全体で集積回路設計で指定されたトランジスタ等の回路構造が定義される。ここで使用される用語「レイアウト」によって定義されるマスクに対して、それらの製造が完了する前にステップ426〜430(図4)等の1以上の後処理ステップを実施しても構わない(通常は行う)。通常、レイアウトは全ての製造プロセスステップに関するマスクを定義するが、本発明の幾つかの態様に関しては、集積回路設計は、全てのマスクを定義するレイアウトのポイントよりも手前のポイントでコンパイルすれば十分である。例えば、幾つかの態様では、レイアウトは、配線層及びビア層の形成等を行う所謂「バックエンド」製造ステップのためのマスクの定義をこの時点ではまだ行わなくてもよい。
ステップ514では、公知であり、今後開発される可能性のある様々なプロセスをレイアウトの強化に用いることができる。これらのプロセス自体は、本発明にとって重要ではない。
ステップ516では、所定の専用のフィラーセルを選択し、セルの間隙に挿入する。これらのフィラーセルは、隣接する回路セルの性能パラメータを調整するためにあらかじめ設定されており、電源/接地とトランジスタのウェルの連続性を維持するためだけを意図した従来のフィラーセルとは異なる。一実施形態では、フィラーセルは選択され、レイアウトの全ての間隙に挿入される。間隙の数は相当数になるが、間隙の片側或いは両側にあるセルのレイアウト特性によってインデックスが付けられた所定のテーブルからフィラーセルを選択することによって、プロセスをかなり容易にすることができる。別の実施形態では、選択セルの両側の間隙のみにフィラーセルを挿入する。例えば、選択セルを、クリティカルな信号パス上にあるセルのみとする。クリティカルな信号パス上にあるセルの一覧は、通常、配置配線システム、或いは、予備レイアウトの公知の解析により既に分かっている。
また、ここで「受動的な」実施形態と呼ばれる一実施形態では、選択された間隙が、レイアウト内での回路セルの位置は変えずに、フィラーセルで埋められている。ここで「能動的な」実施形態と呼ばれる別の実施形態では、幅の広い所定のフィラーセルを収容するために間隙の幅を増やす目的、或いは、幅の狭い所定のフィラーセルを当該フィラーセルの両側にある回路セルと接触する(それによって適切に作用する)ように収容するために、間隙の幅を減らす目的で特定のセルを移動させることができる。幅の広い所定のフィラーセルを収容するためにセルを移動させた場合、通常、当該セルは同じ行内を移動することになる。しかし、幾つかの実施形態では、回路セルを異なる行(通常は隣の行)に移すことも可能である。これらの実施形態は、ここでは「能動的な」実施形態と称する。
回路セルを移動させた場合、回路セルの配置は、移動の前後で「位相同形」となることが望ましい。ここで使用されている「位相同形」とは、レイアウト回路セルの2つの配置の内、1つの配置がどの配線も切断することなく、或いは、配線(或いはその一部)を別の層に再配線することなく、他方に変形させることができる場合、当該2つの配置は「位相同形」であると言える。配線の一部を別の層に移動させることを除いて、配線の伸張、移動、方向転換、再配線によって、位相同形が崩れることはない。2つの配置が位相同形のルールを破るものであったとしても、実際はやはり位相同形の関係にある場合がある。なぜなら、重要なルールを破ることなく、一方を他方に変形させることが可能であるためである。例えば、一方が、層の変更が避けられる場合に、層を変更する必要のある方法で配線を再配線したとしても、層を変更した再配線は、それ自体では位相同形を崩すことにはならない。位相同形は、ルールを破ることが避けられない場合にのみ損なわれる。
ステップ518において、更に他のレイアウトを強化するプロセスを行うことができる。繰り返しになるが、これらのプロセスは、既に公知であるか、今後開発される可能性があるが、それ自体は本発明では重要ではない。
ステップ520において、フィラーセルによって対処された回路セルの性能パラメータ、及び、他のレイアウトの強化が妥当かどうかを決定する。また、ステップ516で回路セルを移動した場合、ステップ512において配置配線システムによって事前に行われた最適化の幾つかの効果が低下すると思われる。この場合、ステップ520において、回路セルの性能パラメータの改善と、配置配線システムによって考慮されたその他のコスト因子の悪化とのトレードオフが妥当かどうかを決定する。もし妥当な場合、ユーザは、解析及び抽出ステップ424、等のEDAプロセスのその後のステップへ進むことができる(ステップ522)。ここでは、応力の影響に関して修正されたレイアウトは、ステップ512から自動生成されたレイアウト「に依存して」形成されたと称する。また、所定のレイアウトは、先行するレイアウトから影響を受けた場合、先行するレイアウト「に依存して」形成される。ステップ512のレイアウトと前記所定のレイアウトとの間に行われる中間のステップ又は期間がある場合、或いは、他のステップがある場合でも、前記所定のレイアウトは、やはり、先行するレイアウト「に依存して」いると言える。中間のステップにおいて1以上のレイアウトを組み合わせる場合、前記所定のレイアウトは、先行するレイアウトの夫々「に依存して」形成されたと考えられる。
ステップ520で参照される回路セルの性能パラメータは、更に高いレベルの機能のシミュレーションで使用可能なセルのパラメータである。例えば、回路セルにCMOSインバータが含まれる場合、電力損失、スイッチング速度、駆動強度、入力容量等の性能パラメータが使用される。回路に1つのトランジスタを含む場合、SPICEパラメータ等の性能パラメータが使用可能である。このSPICEパラメータには電子移動度、Ion、トランジスタのスイッチング速度が含まれる。一実施形態では、ステップ520で参照される性能パラメータは、更に高いレベルのパラメータであり、1以上の回路セルの機能を含んでいる。例えば、信号パスにおける遅延の合計時間は、ステップ520で評価される性能パラメータとして使用される。ここで言う「パラメータ」とは、単なるスロットか容器に過ぎないと考えられ、それ自体は値ではない。しかし、特定の回路又は構造では、パラメータは値を持ち得る。本論ではそうした値を特定のパラメータの「値」と称する。
フィラーセルの種類
フィラーセルを選択、挿入するステップ516について詳細に述べる前に、ここで利用可能とされる所定のフィラーセルのデザインの種類、及び、隣接する回路セルに対してフィラーセルが及ぼす影響について述べる。ここで述べる実施形態では、フィラーセルは、拡散領域、ポリシリコン線、コンタクト、ウェル境界の移動、エッチング停止層境界の移動からなる5種類の構造を備え、これらは隣接する回路セルに影響を及ぼす可能性がある。これらは全て、回路には電気的に接続されていないダミー構造である。
フィラーセルの拡散領域は、隣接する回路セルに対して縦方向に(行の長さに沿って)働く圧縮応力を低減する傾向がある。回路セルのトランジスタは、通常、行の長さに沿った縦方向を向いているため、フィラーセルの拡散領域は、隣接する回路セルのトランジスタのチャネルに対して働く縦方向の圧縮応力を低減する傾向があると思われる。結果として、当該拡散領域は、隣接するPチャネルトランジスタのスイッチング速度及びIonを低下させ、隣接するNチャネルトランジスタのスイッチング速度及びIonを増加させる傾向がある。したがって、スイッチング速度とIonを最大化させることが望ましい場合、隣接するNチャネルトランジスタに対して縦方向に配置された拡散領域を持ち、隣接するPチャネルトランジスタに対しては縦方向に配置された拡散領域を持たないフィラーセルを選択することが望ましい。こうした配置は、図6に示されており、図1のセル614の両側にあるフィラーセル610及び612は夫々、回路セル614のNチャネルトランジスタの拡散領域120に対して縦方向に配置された拡散領域616及び618を含み、回路セル614のPチャネルの拡散領域118に対しては縦方向に配置された拡散領域を含まない。
最終目的の装置のホールド・タイム要件を満たすために信号パスに少なくとも特定の遅延を含む必要がある場合のように、時には、スイッチング速度、Ionを増加させるのではなく、低下させた方が望ましい場合もある。このような場合は、回路セル614のPチャネルトランジスタの拡散領域118に対して縦方向に配置された拡散領域を含み、回路セル614のNチャネルトランジスタの拡散領域120に対しては縦方向に配置された拡散領域を含まないフィラーセル610及び612が選択される。
最も近い拡散領域境界が、隣接する回路セルの最も近いトランジスタに近ければ近い程、影響は大きくなる。したがって、本実施形態では、フィラーセルの端部から拡散領域境界までの距離が異なる2又は3のフィラーセルのデザインが利用可能である。更に、上述の「受動的な」実施形態では、フィラーセルを挿入して間隙を埋めるか、或いは、1以上のフィラーセルを挿入して間隙を埋めなければならない。したがって、必要に応じて様々な幅のフィラーセルのデザインが利用できる。
更に、挿入されたフィラーセルが間隙の反対側にある回路セルに及ぼす影響を制御することが重要となる場合もある。これは、後者の回路セルがクリティカルな信号パスの一部である場合に特に重要である。これらを考慮するには、2つの異なる方法を用いることができる。一実施形態では、幅が間隙の幅の半分であるデザインのフィラーセルが利用できる。すなわち、左隣の回路セルにとって必要な条件を満たしたデザインのフィラーセルを間隙の左側に挿入し、右隣の回路セルにとって必要な条件を満たしたデザインのフィラーセルを間隙の右側に挿入する。別の実施形態では、左隣の回路セルに対する第1の所望の効果、及び、右隣の回路セルに対する第2の所望の効果を持ったデザインのフィラーセルが利用できる。
フィラーセルのダミー拡散領域の形状及び近接性は、少なくとも2つの異なるメカニズムにより、隣接する回路セルの性能パラメータに影響を及ぼす。1つ目のメカニズムは、回路セルのトランジスタチャネルにおける応力の低下であり、これについては上述した通りである。ダミー拡散領域の形状及び近接性は、光学近接効果によっても、隣接する回路セルの性能に影響を及ぼす可能性がある。通常、光学近接効果は、望ましくないものであり、訂正すべきものと考えられている。しかし、ここでは隣接する回路セルの拡散領域の形状又はサイズに関して所望する影響を有するフィラーセルデザインを選択することによって、光学近接効果を有利に用いることができる。
主に、ゲートスタック層の上層部にコンタクトエッチング停止層(CESL)を備える製造プロセスにおいて、隣接する回路セルに影響を及ぼすフィラーセルデザインの第2の構造は、ダミーポリシリコン線である。一般に、製造プロセスにおいてゲートスタックの形成後、キャップ層とも呼ばれるコンタクトエッチング停止層(CESL)がウェハの上に形成される。この層は、窒化物材料で形成されることが多く、一般に、−2.5GPaまでの様々な圧縮応力、及び、+1.5GPaまでの様々な伸張応力によって事前に歪みを加える形成に用いられる。ここで残った応力は、トランジスタのチャネル領域と結合して、トランジスタの性能を高めるか、或いは、低下させる。幾つかの製造プロセスにおいては、伸張応力によって歪んだキャップ層がウェハ上に堆積し、Pチャネルトランジスタの上層部でエッチングされる。他の製造プロセスでは、圧縮応力によって歪んだキャップ層がウェハ上に堆積し、Nチャネルトランジスタの上層部でエッチングされる。更に第3のタイプの製造プロセスにおいては、第1の材料からなる層が初めに堆積し、第1のタイプのトランジスタの上層部でエッチングされ、次に、第2の材料からなる層が堆積し、第2のタイプのトランジスタの上層部でエッチングされる。最後のプロセスは、DSL(デュアル・ストレス・ライナー)と呼ばれる。上記3つのタイプのプロセス全てにおいて、2つの材料間の界面は、通常、Nチャネル拡散領域とPチャネル拡散領域の中間にあり、大まかに言えば、Nウェル及びPウェルの埋め込み領域の端部に一致する。したがって、結果的に形成されるキャップ層は、Nチャネルトランジスタに対して伸張応力を印加しているか、或いは、Pチャネルトランジスタに対して圧縮応力を印加しているか、或いはその両方である。歪みの入ったキャップ層材料からの縦方向の圧縮応力は、トランジスタチャネル領域と結合してPチャネルトランジスタの性能を改善し、縦方向の伸張応力は、トランジスタチャネル領域と結合してNチャネルトランジスタの性能を改善することができる。
トランジスタチャネルにおいて、応力が性能に対して大きな影響を持つ深さは、ウェハの表面か、もしくは、その直下であり、キャップ層よりもかなり下である。したがって、キャップ層からウェハ表面への応力の結合を制御良く乱すことによって、トランジスタチャネルにかかる応力の量を制御、或いは、微調整することができる。キャップ層は、ウェハ上に配置されたポリシリコン構造の上に乗り上げているので、隣接するフィラーセル内で横方向に延伸している近くのダミーポリシリコン線を用いて、当該結合に影響を及ぼすことができる。
図7は、図1にも示されているセル614の両側に、2つのフィラーセル710及び712を示している。これらのフィラーセルは、回路セル614付近に横方向に延伸するポリシリコン線を備え、それにより、コンタクトエッチング停止層から回路セル614のトランジスタチャネル領域への(圧縮又は伸張)応力の結合を低減している。ポリシリコン線716及び718は、回路セル614のPチャネル拡散領域の縦方向の両側に配置されており、ポリシリコン線720及び722は、回路セル614のNチャネル拡散領域の縦方向の両側に配置されている。図7の実施形態は、2つのキャップ層材料が図7の点線724で示された境界線で接するデュアル・ストレス・ライナーを用いている。圧縮材料はPチャネルトランジスタの上層部(図の上半分の行)に配置され、伸張材料はNチャネルトランジスタの上層部(図の下半分の行)に配置されている。したがって、図7の実施形態で示されている全てのポリシリコン線716、718、720、722は、回路セル614のトランジスタチャネル領域に対する縦方向の応力の結合を低減する傾向があり、更に、トランジスタのスイッチング速度及びIonを低減する傾向がある。上述の拡散構造と同様に、上半分又は下半分の行におけるポリシリコン線の有無が異なる(有る場合はフィラーセルの端部からの距離が異なる)デザインのフィラーセルを用いることによって、回路セルの性能パラメータを増減させることができる。拡散構造に関する上記の他の変形例は、ポリシリコン構造を有するフィラーセルデザインにも利用可能である。
ダミー拡散領域と同様に、フィラーセルのポリシリコン線の形状及び近接性は、トランジスタチャネルにおける応力の低下によってだけでなく、光学近接効果によっても隣接回路セルの性能パラメータに影響を及ぼす。ダミーポリシリコン構造は、隣接する回路セルのトランジスタゲート構造の(縦方向における)形状及び長さに対して影響を及ぼすため、光学近接効果は、ダミー拡散領域の場合よりもダミーポリシリコン構造の場合の方が重要となる。多くのトランジスタの性能パラメータは、ゲートの形状及び長さに対して非常に感度が高い。ダミーポリシリコン線を用いたフィラーセルデザインの選択は、応力の影響だけでなく、光学隣接効果も考慮に入れる必要がある。
主に、ゲートスタック層の上層部にコンタクトエッチング停止層を備える製造プロセスにおいて、隣接する回路セルに影響を及ぼすフィラーセルデザインの第3の構造は、ダミーコンタクトである。ダミーコンタクトは、ポリシリコン線とほぼ同様に、隣接するトランジスタチャネル領域に対する応力を緩和する。しかし、通常はダミーコンタクトの寸法が小さいため、その効果は小さい。光学近接による効果は更に小さく、これもダミーコンタクトが非常に小さいためである。それにもかかわらず、ダミーコンタクトの数と配置が異なる様々なフィラーセルデザインを用いることによって、例えば、隣接する回路セルの性能パラメータの非常に微細な調整が可能となる。上述した、ダミーポリシリコン構造を有するフィラーセルデザインを選択する際に考慮したことの多くが、ダミーコンタクトを有するフィラーデザインを選択する際にも当てはまる。
更に、隣接する回路セルに影響を及ぼすフィラーセルデザインの第4の構造は、ウェル境界の移動である。典型的なCMOS装置では、Nチャネルトランジスタが、P型基板に直接、或いは、基板内に形成されているP型ウェル(Pウェル)に形成されており、Pチャネルトランジスタが、基板内に形成されているN型ウェル(Nウェル)に形成されている。回路セルにおいては通常、Pチャネルトランジスタの全てが、回路セルの上半分全体に広がる単独のNウェル内に形成されており、Pウェルを使用する場合は、全てのNチャネルトランジスタが、回路セルの下半分全体に広がる単独のPウェル内に形成されている。従来のフィラーセルでは、自身の上半分全体にNウェルを備えることにより、ウェルがセルの行全体に沿って縦方向に延伸している。Pウェルを用いる場合は、Pウェルも同じ理由でフィラーセルの下半分に延伸している。Nウェルの境界は、通常、回路セル内を縦方向に延伸する直線で定義される。
ウェルは、通常、基板上にレジストを形成、パターニングした後、レジストに覆われていない領域に、高エネルギー注入プロセスでドーパントを注入することによって形成される。これらのドーパントは、レジストの側面から活性化領域に向かって水平方向に拡散することによって、余分なドーパントがトランジスタチャネル領域に注入されることが知られている。この効果は、「ウェル近接効果」として知られている。したがって、ウェルのレジストマスクの端部に近いトランジスタは、閾値電圧Vtが上昇する。
図8は、フィラーセルデザインを用いて、回路セルの特定のトランジスタにおけるVtを制御して増加させる方法を示した図である。図8には、図1にも示されている回路セル614の両側に2つのフィラーセル810と812が示されている。回路セル614、その左右に隣接するフィラーセル810及び812内には、夫々、Nウェル814、816、818が示されており、鎖線で境界が示されている。2つのフィラーセルでは、Nウェルの境界が内側に向かって移動しており、それによって、2つのNウェルの境界が、Pチャネルトランジスタと縦方向に並んで、回路セル614の両側付近に形成されている。製造において、Nウェル816及び818は、レジストをウェハ上に堆積し、Nウェルの注入を行う領域の上層部にあるレジストをエッチングすることによって形成される。境界の移動により、当該レジストは、回路セル614の両側付近に横向きの側壁を備えることになる。高エネルギーによる注入の間、Nウェルドーパントの中には、これらの側壁に拡散するものもあり、それらのドーパントは隣接する回路セル内の隣接するPチャネルトランジスタのチャネル領域に拡散する。したがって、これらのフィラーセルデザインは、回路セル614の隣接するPチャネルトランジスタの閾値電圧を増加させる傾向がある。
図9は、ウェル境界の使用法についての別の変形例を示しており、(回路セル614内の)914、(回路セル614の両側のフィラーセル内の)916及び918等のPウェルを形成する製造プロセスで有効である。図9では、各フィラーセルのPウェルの境界が、内側に向かって移動しており、それによって、2つのPウェルの境界が、Nチャネルトランジスタと縦方向に並んで、回路セル614の両側付近に形成されている。製造において、Pウェル916と918は、レジストをウェハ上に堆積し、Pウェルの注入を行う領域上のレジストをエッチングすることによって形成される。境界の移動により、当該レジストは、回路セル614の両側付近に横向きの側壁を備えることになる。高エネルギーによる注入の間、Pウェルドーパントの中には、これらの側壁に拡散するものもあり、それらのドーパントは隣接する回路セル614内の隣接するNチャネルトランジスタのチャネル領域に拡散する。したがって、これらのフィラーセルデザインは、回路セルの隣接するNチャネルトランジスタの閾値電圧を増加させる傾向がある。
更に、隣接する回路セルに影響を及ぼすフィラーセルデザインの第5の構造は、エッチング停止層境界の移動である。上述のとおり、製造プロセスにおいて、ゲートスタックが形成された後、コンタクトエッチング停止層、すなわち、キャップ層が通常はウェハ上に形成される。幾つかの製造プロセスでは、エッチング停止層としてNチャネルトランジスタの上層部に伸張応力のかかったキャップ層が形成され、他の製造プロセスでは、エッチング停止層としてPチャネルトランジスタの上層部に圧縮応力のかかったキャップ層が形成される。DSL(デュアル・ストレス・ライナー)製造プロセスでは、エッチング停止層として両方のキャップ層が形成される。3つのプロセス全てにおいて、エッチング停止層材料は、通常、Nチャネル拡散領域とPチャネル拡散領域の中間に境界(ここではエッチング停止層境界と称する)を有する。
通常、エッチング停止層のパターニングに用いられるマスクは、Nウェル注入のパターニングに用いられるものと同じであるため、エッチング停止層境界は、Nウェル境界と一致する。したがって、上で述べたように、従来のフィラーセルは、セルを縦方向に延伸するNウェルを備え、エッチング停止層の境界は、Nウェルの境界と同様に、回路セル内を縦方向に延伸する直線で定義される。しかし、図8と図9に示されるように、また、上述したように、この境界は、フィラーセル内を横方向に移動させることができる。図8の実施形態では、フィラーセル内のNウェルのサイズが減少するように境界が移動すると、フィラーセル内の圧縮応力のかかったエッチング停止層のサイズも減少する。これにより、回路セル614のPチャネルトランジスタのチャネル領域に及ぼされる縦方向の圧縮応力が減少する。したがって、図8のフィラーセルデザインは、回路セル614内の隣接するPチャネルトランジスタのスイッチング速度とIonを低下させる傾向がある。同様に、図9の実施形態において、フィラーセル内のPウェルのサイズが減少するように境界が移動すると、フィラーセル内の伸張応力のかかったキャップ層のサイズが減少する。この減少によって、回路セル614内のNチャネルトランジスタのチャネル領域に及ぼされる縦方向の伸張応力が減少する。したがって、図9のフィラーセルデザインは、回路セル614内の隣接するNチャネルトランジスタのスイッチング速度とIonを低下させる傾向がある。
Nウェル注入に使われるマスクとエッチング停止層のパターニングに用いられるマスクが異なる製造プロセスに対して、(もしあるとすれば)エッチング停止層境界の移動と(もしあるとすれば)ウェル注入の境界の移動が異なるフィラーセルデザインが利用できないという理由はない。このように、フィラーセルを適切に選択することにより、回路セル内のトランジスタの閾値電圧は、回路セル内のトランジスタのスイッチング速度、Ion等の他の性能パラメータから独立して調整が可能となる。
更に、上述の拡散領域構造、ポリシリコン構造、コンタクト構造の場合と同様に、フィラーセルのデザインにおいて、Nウェル境界及び/又はエッチング停止層境界を上又は下に移動し、この移動の量を様々に変更し、フィラーセルの左側又は右側の境界、或いは、両方からの距離を変更することによって、回路セルの性能パラメータを所望する形で調節することができる。上述の他の構造に関する他の変形例は、Nウェル及びエッチング停止層を様々に変更したフィラーセルデザインにも利用可能である。
他と同様に、上述の5種類の構造の何れか又は全て、及び、夫々の変形を用いれば、所定のフィラーデザインが提供できることが分かる。一実施形態では、所定のフィラーセルデザインは、各構造を形成するための特定のマスク層、すなわち、拡散領域構造用の拡散マスク、ポリシリコン構造用のポリシリコンマスク、コンタクト構造用のビアマスク、Nウェル境界の移動用のNウェルマスクに対して個別に提供される。レイアウトシステムは、マスク層の夫々から、左側又は右側に隣接する回路セル、又は、両隣の回路セルの性能パラメータに対して所望される影響を生み出すいかなる組合せでも選択することができる。ただし、所定のフィラーセルデザインは夫々、セルにおいて変形の対象となる全てのマスク層に関する組合せを指定することが望ましい。どの場合も、所定のフィラーセルデザインは、左隣の回路セルの性能パラメータに関して所望される影響、右隣の回路セルの性能パラメータに関して所望される影響、フィラーセルの幅、左右に隣接する回路セルの特定のレイアウト特性(ポリシリコン線又はトランジスタ拡散領域境界から間隙に隣接する回路セルの端部までの距離、及び、最も近い拡散領域境界の横方向の位置及び寸法等)に従って、インデックスが付けられたデータベースにまとめることができる。このようにインデックスが付けられてデータベースに格納された所定のフィラーセルデザインを提供することによって、レイアウト強化システムは、非常に単純で迅速な規則に基づいて、検討中の各間隙に挿入するフィラーセルを選択することが可能となる。
ここで使われている「データベース」という用語は、一体化された構造を意味するとは限らない。例えば、2以上の別々のデータベースをまとめて考えた場合、ここで言うところの「データベース」を構成する。ここで述べられているデータベースは、コンピュータメモリ、ハードディスクドライブ、光学装置、他の記憶媒体、その他読み出し可能な記憶装置に配置することができ、かつ/或いは、コンピュータによって読み出し可能な記憶装置の1以上のインスタンス上に展開することができる。ここで使用されている「コンピュータによって読み出し可能な媒体」という用語は、1又は複数のコンピュータによって読み出し可能な記憶装置を指している。
フィラーセルの挿入
方法の説明に戻る。図10は、フィラーセルを選択及び挿入するステップ516(図5)の一実施形態のフローチャートを示している。ステップ1010において、有効なフィラーセルを使用した強化対象の全ての回路セルを経由するループ処理が開始される。既に述べたように、様々な実施形態において、レイアウトにおける全ての回路セルか、選択されたセルがこのループの対象となる。図10の実施形態では、クリティカルな信号パスにある回路セルのみに対して処理が行われる。
ステップ1012において、左右について適切なフィラーセルデザインを選択する必要のある現在の回路セルのレイアウト特性が決定される。同様に、左隣の回路セルも処理される場合、当該セルの必要なレイアウト特性が決定され、更に、右隣の回路セルも処理される場合、当該セルの必要なレイアウト特性が決定される。
ステップ1014において、現在の回路セルの性能パラメータについてどのような影響が望ましいかを決定する(任意で、左側及び/又は右側の隣接セルについても行う)。上述のとおり、通常は、含まれるトランジスタのスイッチング速度及びIonが増加することが望ましいが、時には、これらのパラメータの値が減少することが望ましい場合もある。また、PチャネルトランジスタとNチャネルトランジスタに対して異なる影響が及ぼされることが望ましい場合もある。更に、Vtを増加させて、リーク電力が減少することが望ましい場合もある。
ステップ1016において、現在の回路セルの左隣の間隙に適したデザインを選択するために、現在の回路セルと左隣の回路セルに望ましい影響、及び、夫々のレイアウト特性を用いて、フィラーセルデザインのデータベースにインデックスが付けられる。次に、選択されたフィラーセルは、左隣の間隙に挿入される。同様に、ステップ1018において、現在の回路セルの右隣の間隙に適したデザインを選択するために、現在の回路セルと右隣の回路セルに望ましい影響、及び、夫々のレイアウト特性を用いて、フィラーセルデザインのデータベースにインデックスが付けられる。次に、選択されたフィラーセルは、右隣の間隙に挿入される。
ステップ1020において、所望する回路セルの全てが処理されたかどうかを判定し、もしそうでなければ、プロセスは、次の回路セルを処理するためにステップ1010に戻る。所望する回路セルの全てが処理された場合、ステップ516のプロセスは終了する。
図11は、左隣の間隙を埋めるステップ1016の実施形態のフローチャートである。ステップ1110において、まず、左隣の回路セルがクリティカルパス上にあるかどうかを判定する。当該回路セルがクリティカルパス上にある場合、続いてステップ1112において、現在の回路セルと左隣の回路セルの両方のレイアウト特性、及び、それらに所望される影響に基づいて、左隣の間隙に挿入するフィラーセルデザインを選択する。左隣の回路セルがクリティカルパス上にない場合、ステップ1114において、現在の回路セルのみのレイアウト特性、及び、所望される影響に基づいて、左隣の間隙に挿入するフィラーセルデザインを選択する。何れの場合も、「能動的な」実施形態においては、ステップ1116で、選択されたフィラーセルに十分な空間が必要な場合は、左隣の回路セルを移動させる。上述したとおり、能動的な一実施形態において、この移動は、現在の行内での移動に限られる。別実施形態では、回路セルは、隣接する行又は隣接しない行へ移動させることができる。何れの場合においても、選択されたフィラーセルに十分な空間を作るために、(左隣の回路セル以外の)他のセルを移動させても構わない。ステップ1118において、選択されたフィラーセルは、現回路セルの左隣の間隙に現回路セルと接するように挿入される。ステップ1016はステップ1120で終了する。
図12は、右隣の間隙を埋めるステップ1018の実施形態のフローチャートを示している。ステップ1018に関して、ステップ1210では、まず、右隣の回路セルがクリティカルパス上にあるかどうかを判定する。当該回路セルがクリティカルパス上にある場合、続いてステップ1212において、現在の回路セルと右隣の回路セルの両方のレイアウト特性、及び、それらに所望される影響に基づいて、右隣の間隙に挿入するフィラーセルデザインを選択する。右隣の回路セルがクリティカルパス上にない場合、ステップ1214において、現在の回路セルのみのレイアウト特性、及び、所望される影響に基づいて、右隣の間隙に挿入するフィラーセルデザインを選択する。何れの場合も、「能動的な」実施形態においては、ステップ1216で、選択されたフィラーセルに十分な空間が必要な場合は、右隣の回路セルを移動させる。ステップ1218において、選択されたフィラーセルは、現回路セルの右隣の間隙に現回路セルと接するように挿入される。ステップ1018はステップ1220で終了する。
トランジスタに対する応力の影響、及び、トランジスタの性能を向上させる応力の使用方法についてのここでの記述は、現在、半導体産業で使用されている標準的な結晶方位である、ウェハ表面が(100)面、チャネル方向が<110>方向のものに適用される。応力の分布は、ウェハ及びトランジスタチャネルの結晶方位によって僅かしか変化しないが、キャリアの移動度に対する応力の影響は、大きさだけでなく符号も大きく変化する。したがって、上述の方法の説明は、任意の結晶方位のウェハ及びトランジスタに対して適用することができるが、フィラーセルにおける各構造の種類及び位置は、各場合毎に調整する必要がある。ゲルマニウム等の半導体、GaAs、InP、SiC等の化合物半導体にも同じことが言える。
選択されたフィラーセルをレイアウトへ導入することは、回路セルの性能が変わらない場合においても有益である。なぜなら、回路セルの性能が特定のレイアウトにおける位置及び周囲の環境に対して持つ感度を除去、或いは、減少させるだけでも有益だからである。例えば、回路設計に微小な変更が加えられたことによって、結果として得られるレイアウトにおいて特定のトランジスタの位置が変わった場合、更に、応力の影響によってトランジスタのIonの値がレイアウト内の位置及びその近隣に依存するようになった場合、回路設計におけるこうした微小な変化が、レイアウトのステップの後の工程で、意図しなかった結果を生むことになる。こうなると、設計者は、図4のEDAプロセスにおける上流ステップに戻り、意図しなかった当該結果を訂正しなければならない。回路設計に加えられたこの訂正が、再びレイアウト後の工程に意図しない結果を生み、設計者はまた回路設計を余儀なくされるといったことが起こる。レイアウトにおける自身の位置に対する回路セルの性能の感度を減らす応力変更ステップは、回路設計ステップをレイアウトステップから独立させるのに有効であり、それによって、上流のEDAステップに戻る必要性を減らすことができる。したがって、別実施形態では、図5の決定ステップ520は、応力が変更されたレイアウトが、レイアウトに起因する回路セルの性能の変化を除去しているかどうか、及び、コスト関数の他の因子の増加がこの利益を上回るかを問う決定ステップに置き換えることができる。
レイアウトの感度の除去は、特に、スタンダードセルの状況において有益である。なぜなら、レイアウトに依存する応力は、セルの配置、及び、その近隣環境によって、同じセルでもインスタンス間でタイミングにばらつきが生じる。スタンダードセル設計の前提は、好ましくは同じセルデザイン及びレイアウトが、所望する場所において、セルの使用状況を考慮した内部的な調整を要せずに、使用、及び、再使用可能なことである。従って、上記の技術の幾つか又は全ては、セルを外部的な応力の影響から独立させるために、スタンダードセルのレイアウトにおいて使用することができる。例えば、特に、ダミー拡散領域を備えるフィラーセルは、回路セルの両側の間隙に挿入することができ、外部の応力源に起因する内部のトランジスタ間の応力の相互作用を減少させることができる。
図13は、図4及び5に示した様々なステップを実行するのに適したコンピュータシステム1310の概略を示すブロック図である。一実施形態では、1つのコンピュータシステムを用いて全てのステップを実行し、一方、別の実施形態では、異なる複数のコンピュータシステムを用いて、対象ステップのステップを個別に実行する。コンピュータシステム1310は、典型的には、バスサブシステム1312を介して複数の周辺装置と通信する少なくとも1つの処理装置1314を備える。これらの周辺装置には、メモリサブシステム1326、ファイル格納サブシステム1328を備える格納サブシステム1324、ユーザインターフェース入力装置1322、ユーザインターフェース出力装置1320、ネットワークインターフェースサブシステム1316がある。入出力装置によってユーザはコンピュータシステム1310とやりとりを行う。ネットワークインターフェース1316は、通信ネットワーク1318とのインターフェースを含む、外部のネットワークとのインターフェースを提供し、通信ネットワーク1318を介して他のコンピュータシステムの対応するインターフェース装置に接続している。通信ネットワーク1318は、多くの相互接続されたコンピュータシステム及び通信リンクを備えている。これらの通信リンクは、有線リンク、光リンク、無線リンク、その他情報通信機構である。一実施形態において、通信ネットワーク1318はインターネットであり、他の実施形態では、適切なコンピュータネットワークである。
ユーザインターフェース入力装置1322は、キーボード、マウス、トラックボール、タッチパッド、グラフィックタブレット等のポインティングデバイス、スキャナー、ディスプレイに組み込まれたタッチスクリーン、音声認識システム、マイク等の音声入力装置、その他のタイプの入力装置を備える。一般的に、「入力装置」という語を使う場合には、情報をコンピュータシステム1310、或いは、コンピュータネットワーク1318に入力するための可能な限りのあらゆる種類の装置、及び、方法が含まれる。
ユーザインターフェース出力装置1320は、ディスプレイシステム、プリンター、ファックス、音声出力装置等の非視覚的表示の何れかを備える。表示サブシステムは、陰極線管(CRT)、液晶ディスプレイ(LCD)等の平面ディスプレイ、投射装置、及び、可視画像を生成する他のメカニズムの何れかを備える。また、表示サブシステムは、音声出力装置を介するなどして非視覚的表示を提供する。一般に、「出力装置」という語を使う場合には、情報をコンピュータシステム1310からユーザに、或いは、他のマシンやコンピュータシステムに出力するためのあらゆる可能な種類の装置、及び、方法が含まれる。
格納サブシステム1324は、本発明の特定の実施形態の機能を提供する基本的なプログラミング及びデータ構造を格納している。例えば、本発明の特定の実施形態の機能を実施する様々なモジュールが、格納サブシステム1324に格納されている。これらのソフトウェアモジュールは、処理装置1314によって実行される時に、図4及び図5のコンピュータによって実施されるステップを実行する。
メモリサブシステム1326は、典型的には、プログラム実行時に命令とデータを格納するための主ランダムアクセスメモリ(RAM)1330、及び、固定の命令が格納された読み出し専用メモリ(ROM)1332を含む複数のメモリを備える。ファイル格納サブシステム1328は、プログラム及びデータファイルを永続的に格納し、ハードディスクドライブ、関連する取り外し可能な媒体に伴うフレキシブルディスクドライブ、CD−ROMドライブ、光学ドライブ、取り外し可能なメディアカートリッジの何れかを備える。データベース及び本発明の特定の実施形態の機能を実施するモジュールは、ファイル格納サブシステム1328によって格納されている。
バスサブシステム1312は、コンピュータシステム1310の様々な構成要素、及び、サブシステムに、互いに意図した方法で通信させるメカニズムを提供する。バスシステム1312は単一のバスとして概略が示されているが、バスシステムの別実施形態として、複数のバスを使用してもよい。
コンピュータシステム1310自身は、パソコン、携帯用コンピュータ、ワークステーション、コンピュータ端末、ネットワークコンピュータ、テレビ、メインフレーム、その他データ処理システム、及び、ユーザ装置の何れかを含む、様々なタイプの形態を取り得る。コンピュータ及びネットワークの性質は絶え間なく変わるため、図13に示したコンピュータシステム1310の構成は、本発明の特定の実施形態を表すための具体例に過ぎない。コンピュータシステム1310については、図13に示したコンピュータシステムの構成要素に追加、或いは、削除するなどして、他にも多くの構成が考えられる。
前述の本発明の好ましい実施形態は、説明及び記述のために提供されたものであり、包括的な開示、或いは、本発明をここに開示した形に厳密に限定することを意図していない。多くの変更及び改良が可能であることは、当業者にとって自明である。各実施形態は、本発明の原理及びその実際の応用を最大限に説明するために選択され、記述された。それにより、当業者は、本発明が様々な実施形態をとり、意図する特定の用途に合わせて様々な変形が可能であると理解できる。本発明の範囲は以下の特許請求の範囲とそれに相当するものによって定義される。

Claims (19)

  1. 複数のフィラーセルデザインを定義するデータベースと共に使用するための集積回路設計のレイアウト方法であって、
    前記集積回路設計のレイアウトは、前記集積回路設計に従って集積回路装置を製造する際に用いられ、
    前記レイアウト方法は、前記集積回路設計の第1レイアウトを提供する工程と、フィラーセルを挿入する工程を含み、
    前記第1レイアウトは、複数のマスクを規定し、
    前記複数のマスクは、製造プロセスにおいて使用された時に、複数の集積回路の特徴を規定し、
    前記複数の集積回路の特徴は、相互間に間隙を設けて配置された複数の回路レイアウトセルを規定し、
    前記フィラーセルを挿入する工程において、前記間隙の少なくとも一部の所定の間隙の夫々に、前記所定の間隙に隣接する少なくとも1つの前記回路レイアウトセルの性能パラメータに対して所望される影響に基づいて前記データベースから選択された対応するフィラーセルを挿入し、
    前記フィラーセルを挿入する工程において、対象回路レイアウトセルに隣接する間隙に第1フィラーセルを挿入し、
    前記第1フィラーセルは、前記対象回路レイアウトセル内のNチャネルトランジスタとPチャネルトランジスタの何れか一方の拡散領域と縦方向に並ぶダミー拡散領域を備え、
    前記縦方向は、対象となるトランジスタのソース・ドレイン間を電流が流れる方向であることを特徴とするレイアウト方法。
  2. 前記第1レイアウトにおいて、前記複数の回路レイアウトセルが複数行に亘って配列されており、前記複数行の内の1行において、前記間隙の夫々が、同一行の対応する1対の前記回路レイアウトセルの間に配置されており、
    前記フィラーセルを挿入する工程において、前記所定の間隙の両側に隣接する前記回路レイアウトセルの性能パラメータに対して所望される影響に基づいて、前記対応するフィラーセルが選択されることを特徴とする請求項1に記載のレイアウト方法。
  3. 前記性能パラメータが、トランジスタにおける電子の移動度、チャネルに流れる電流Ion、スイッチング速度、信号パス遅延、リーク、電力の内の何れかであることを特徴とする請求項1に記載のレイアウト方法。
  4. 前記所望される影響とは、前記性能パラメータを改善することであることを特徴とする請求項1に記載のレイアウト方法。
  5. 前記所望される影響とは、前記回路レイアウトセルの近隣のレイアウトに対する前記性能パラメータの感度を低下させることであることを特徴とする請求項1に記載のレイアウト方法。
  6. 前記集積回路装置の製造は、前記集積回路装置のゲートスタック層の上層部にエッチング停止層を形成する工程を含み、
    前記フィラーセルを挿入する工程において、対象回路レイアウトセルに隣接する間隙に第フィラーセルを挿入し、
    前記第フィラーセルは、前記縦方向に対して直交する横方向に延伸するダミーポリシリコン線を備えることを特徴とする請求項1に記載のレイアウト方法。
  7. 前記フィラーセルを挿入する工程において、対象回路レイアウトセルに隣接する間隙に第フィラーセルを挿入し、
    前記第フィラーセルは、ダミーコンタクト領域を備えることを特徴とする請求項1に記載のレイアウト方法。
  8. 対象回路レイアウトセルが、Pチャネルトランジスタの下層部には形成されているがNチャネルトランジスタの下層部には形成されていないNウェルを備え、前記Nウェルが前記縦方向に延伸するウェル境界を有し、
    前記フィラーセルを挿入する工程において、前記対象回路レイアウトセルに隣接する間隙に第フィラーセルを挿入し、
    前記第フィラーセルはNウェル境界を含み、少なくともその一部は、前記対象回路レイアウトセル内の前記Nウェルの前記Nウェル境界と同一線上に並んでいないことを特徴とする請求項1に記載のレイアウト方法。
  9. 前記集積回路装置の製造は、前記集積回路装置のゲートスタック層の上層部に、前記縦方向に延伸する境界を有するエッチング停止層を形成する工程を含み、
    前記フィラーセルを挿入する工程において、対象回路レイアウトセルに隣接する間隙に第フィラーセルを挿入し、
    前記第フィラーセルはエッチング停止層境界を含み、少なくともその一部は、前記対象回路レイアウトセル内の前記エッチング停止層境界と同一線上に並んでいないことを特徴とする請求項1に記載のレイアウト方法。
  10. 前記性能パラメータに対して所望される影響には、対象回路レイアウトセル内のCMOS回路のトランジスタにおける電子移動度を改善することが含まれ、
    記第1フィラーセルは、前記対象回路レイアウトセル内のNチャネルトランジスタの拡散領域に合わせて前記縦方向に配列するダミー拡散領域を備える一方で、前記対象回路レイアウトセル内のPチャネルトランジスタの拡散領域と前記縦方向に並ぶ拡散領域を備えていないことを特徴とする請求項1に記載のレイアウト方法。
  11. 前記性能パラメータに対して所望される影響には、対象回路レイアウトセル内のCMOS回路のトランジスタにおける電子移動度を低下することが含まれ、
    前記第1フィラーセルは、前記対象回路レイアウトセル内のPチャネルトランジスタの拡散領域に合わせて前記縦方向に配列するダミー拡散領域を備える一方で、前記対象回路レイアウトセル内のNチャネルトランジスタの拡散領域と前記縦方向に並ぶ拡散領域を備えていないことを特徴とする請求項1に記載のレイアウト方法。
  12. 前記性能パラメータに対して所望される影響が、少なくとも一部は、応力効果から得られることを特徴とする請求項1に記載のレイアウト方法。
  13. 前記性能パラメータに対して所望される影響が、少なくとも一部は、光学近接効果から得られることを特徴とする請求項1に記載のレイアウト方法。
  14. 前記性能パラメータに対して所望される影響が、少なくとも一部は、ウェル近接性効果から得られることを特徴とする請求項1に記載のレイアウト方法。
  15. 前記対応するフィラーセルの1つのための空間を作るために、前記回路レイアウトセルの特定の1つの位置を移動させる工程を更に備えることを特徴とする請求項1に記載のレイアウト方法。
  16. 前記特定の回路レイアウトセルの位置を移動させる工程において、位相同形を維持したまま前記特定の回路レイアウトセルの位置を移動することを特徴とする請求項15に記載のレイアウト方法。
  17. 前記第1レイアウトにおいて、前記複数の回路レイアウトセルは複数行に亘って配列されており、
    前記特定の回路レイアウトセルの位置を移動させる工程において、前記特定のレイアウトセルを、同一行内で位相同形を失わずに移動させることを特徴とする請求項15に記載のレイアウト方法。
  18. 前記第1レイアウトにおいて、前記複数の回路レイアウトセルは複数行に亘って配列されており、
    前記特定の回路レイアウトセルの位置を移動させる工程において、前記特定の回路レイアウトセルを、複数のレイアウトセルの隣接する行に移動させることを特徴とする請求項15に記載のレイアウト方法。
  19. 複数のフィラーセルデザインを定義するデータベースと共に使用するための集積回路設計をレイアウトするシステムであって、
    前記集積回路設計のレイアウトは、前記集積回路設計に従って集積回路装置を製造する際に用いられ、
    前記システムは、請求項1〜18の何れか1項に記載のレイアウト方法に含まれる複数の工程を各別に実行する複数の手段を有することを特徴とするレイアウトシステム。
JP2010528013A 2007-10-26 2008-07-30 配置配線システムにおける設計最適化のためのフィラーセル Active JP5416700B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/924,738 2007-10-26
US11/924,738 US7895548B2 (en) 2007-10-26 2007-10-26 Filler cells for design optimization in a place-and-route system
PCT/US2008/071589 WO2009055113A1 (en) 2007-10-26 2008-07-30 Filler cells for design optimization in a place-and-route system

Publications (2)

Publication Number Publication Date
JP2010541280A JP2010541280A (ja) 2010-12-24
JP5416700B2 true JP5416700B2 (ja) 2014-02-12

Family

ID=40579895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010528013A Active JP5416700B2 (ja) 2007-10-26 2008-07-30 配置配線システムにおける設計最適化のためのフィラーセル

Country Status (6)

Country Link
US (3) US7895548B2 (ja)
EP (1) EP2203935A4 (ja)
JP (1) JP5416700B2 (ja)
CN (1) CN101681878B (ja)
TW (1) TWI476868B (ja)
WO (1) WO2009055113A1 (ja)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8407634B1 (en) * 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
US7584438B2 (en) * 2007-06-01 2009-09-01 Synopsys, Inc. Method for rapid estimation of layout-dependent threshold voltage variation in a MOSFET array
US7610160B2 (en) * 2007-09-18 2009-10-27 Globalfoundries Inc. Integrated circuit tester information processing system
JP2010003949A (ja) * 2008-06-23 2010-01-07 Nec Electronics Corp 半導体集積回路装置のレイアウト検証方法
US20100207182A1 (en) * 2009-02-13 2010-08-19 International Business Machines Corporation Implementing Variable Threshold Voltage Transistors
US10691860B2 (en) 2009-02-24 2020-06-23 Rambus Inc. Secure logic locking and configuration with camouflaged programmable micro netlists
US8418091B2 (en) 2009-02-24 2013-04-09 Syphermedia International, Inc. Method and apparatus for camouflaging a standard cell based integrated circuit
US9735781B2 (en) 2009-02-24 2017-08-15 Syphermedia International, Inc. Physically unclonable camouflage structure and methods for fabricating same
US8510700B2 (en) * 2009-02-24 2013-08-13 Syphermedia International, Inc. Method and apparatus for camouflaging a standard cell based integrated circuit with micro circuits and post processing
US8151235B2 (en) * 2009-02-24 2012-04-03 Syphermedia International, Inc. Camouflaging a standard cell based integrated circuit
JP2010258264A (ja) * 2009-04-27 2010-11-11 Toshiba Corp 半導体集積回路装置およびその設計方法
US8111089B2 (en) * 2009-05-28 2012-02-07 Syphermedia International, Inc. Building block for a secure CMOS logic cell library
US8219959B2 (en) * 2009-07-24 2012-07-10 Lsi Corporation Generating integrated circuit floorplan layouts
US8898614B2 (en) * 2010-04-19 2014-11-25 Freescale Semiconductor, Inc. Integrated circuit device with reduced leakage and method therefor
US8495534B2 (en) * 2010-06-08 2013-07-23 International Business Machines Corporation Post-placement cell shifting
US8266566B2 (en) 2010-09-10 2012-09-11 International Business Machines Corporation Stability-dependent spare cell insertion
US20120216155A1 (en) * 2011-02-23 2012-08-23 Ping-Chia Shih Checking method for mask design of integrated circuit
US8742464B2 (en) 2011-03-03 2014-06-03 Synopsys, Inc. Power routing in standard cells
US8612914B2 (en) 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells
US8631374B2 (en) 2011-03-30 2014-01-14 Synopsys, Inc. Cell architecture for increasing transistor size
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US10192859B2 (en) 2011-05-11 2019-01-29 Texas Instruments Incorporated Integrated circuits and processes for protection of standard cell performance from context effects
US8448124B2 (en) 2011-09-20 2013-05-21 International Business Machines Corporation Post timing layout modification for performance
CN102664142B (zh) * 2012-04-19 2014-10-22 浙江大学 对现有版图填充冗余多晶硅条阵列的插入方法
US8621409B2 (en) * 2012-04-30 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing layout-dependent effects
US8826212B2 (en) * 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US9141742B2 (en) 2012-12-31 2015-09-22 Synopsys, Inc. Priori corner and mode reduction
US8776005B1 (en) 2013-01-18 2014-07-08 Synopsys, Inc. Modeling mechanical behavior with layout-dependent material properties
US9262573B2 (en) * 2013-03-08 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Cell having shifted boundary and boundary-shift scheme
US8904322B2 (en) * 2013-03-26 2014-12-02 International Business Machines Corporation Structure for stacked CMOS circuits
US8759885B1 (en) * 2013-04-30 2014-06-24 Freescale Semiconductor, Inc. Standard cell for semiconductor device
US9070551B2 (en) * 2013-06-18 2015-06-30 Qualcomm Incorporated Method and apparatus for a diffusion bridged cell library
US9438242B2 (en) 2013-07-12 2016-09-06 Freescale Semiconductor, Inc. Systems and methods for reducing power consumption in semiconductor devices
JP2015122398A (ja) * 2013-12-24 2015-07-02 セイコーエプソン株式会社 半導体集積回路装置及びそのレイアウト設計方法
US9553033B2 (en) * 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
CN103778297B (zh) * 2014-01-27 2017-04-12 中国科学院微电子研究所 Mos器件的sti应力效应建模方法及装置
DE102015108244A1 (de) * 2014-06-06 2015-12-10 Synopsys, Inc. Verfahren und system zum generieren eines schaltungsentwurfs, verfahren zum kalibrieren einer inspektionsvorrichtung, und verfahren zur prozesssteuerung und zum ertragsmanagement
TWI492083B (zh) * 2014-06-23 2015-07-11 中原大學 Gate configuration components with stress amplification
US9542521B2 (en) * 2014-09-25 2017-01-10 Texas Instruments Incorporated Filler insertion in circuit layout
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US9946829B2 (en) 2015-02-09 2018-04-17 Mediatek Inc. Methods for redistributing cell densities in layout area of IC
US9885951B2 (en) 2015-12-11 2018-02-06 International Business Machines Corporation Structure design generation for fixing metal tip-to-tip across cell boundary
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US9971861B2 (en) * 2016-02-10 2018-05-15 International Business Machines Corporation Selective boundary overlay insertion for hierarchical circuit design
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US10540471B2 (en) 2016-05-11 2020-01-21 Samsung Electronics Co., Ltd. Layout design system and semiconductor device fabricated using the same
KR102599801B1 (ko) * 2016-05-11 2023-11-08 삼성전자주식회사 레이아웃 디자인 시스템 및 이를 이용하여 제조한 반도체 장치
US9904758B2 (en) 2016-05-18 2018-02-27 Samsung Electronics Co., Ltd. Using deep sub-micron stress effects and proximity effects to create a high performance standard cell
US9940424B2 (en) * 2016-05-25 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for minimum-implant-area aware detailed placement
US10282503B2 (en) 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US10331838B2 (en) * 2016-12-12 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fill cells
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US10572615B2 (en) * 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US10579771B2 (en) 2017-06-14 2020-03-03 Samsung Electronics Co., Ltd. Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US11334703B2 (en) * 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
KR102285790B1 (ko) 2017-07-04 2021-08-04 삼성전자 주식회사 필러 셀을 포함하는 집적 회로
CN108829472A (zh) * 2018-05-10 2018-11-16 北京华大九天软件有限公司 一种利用鼠标左键双击操作进入下层单元的方法
CN108875200B (zh) * 2018-06-14 2022-08-09 上海华力集成电路制造有限公司 通用型wpe优化模型及其提取方法
KR102495912B1 (ko) 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US10923596B2 (en) 2019-03-08 2021-02-16 Rambus Inc. Camouflaged FinFET and method for producing same
KR20210029966A (ko) 2019-09-09 2021-03-17 삼성전자주식회사 집적된 표준 셀 구조를 포함하는 집적 회로
CN110660792B (zh) * 2019-09-30 2022-03-18 上海华力微电子有限公司 Fdsoi标准单元的填充图形的生成方法以及版图布局方法
CN113255280A (zh) * 2020-02-13 2021-08-13 新思科技有限公司 用于表示集成电路的布局的系统和方法
US11488948B2 (en) 2020-03-30 2022-11-01 Samsung Electronics Co., Ltd. Semiconductor devices, layout design methods for the same, and methods for fabricating the same
US11403454B2 (en) * 2020-11-09 2022-08-02 Synopsys, Inc. Placement and simulation of cell in proximity to cell with diffusion break
KR20220134325A (ko) 2021-03-26 2022-10-05 삼성전자주식회사 표준 셀 및 필러 셀을 포함하는 집적 회로
CN113515915B (zh) * 2021-04-23 2023-04-25 成都海光集成电路设计有限公司 插入填充单元的方法、装置、设备以及存储介质
CN113555372B (zh) * 2021-06-30 2022-06-07 广芯微电子(广州)股份有限公司 一种隔断填充单元及多电压域低功耗芯片
US20230128880A1 (en) * 2021-10-22 2023-04-27 Mediatek Inc. Semiconductor device, method of forming the same and layout design modification method of the same
CN117436398A (zh) * 2023-11-01 2024-01-23 上海合芯数字科技有限公司 躲避宏单元的电源开关单元自动插入方法、系统、终端及介质

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910006249B1 (ko) * 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
JPH0338044A (ja) * 1989-07-05 1991-02-19 Toshiba Corp 半導体装置の製造方法
US5592012A (en) * 1993-04-06 1997-01-07 Sharp Kabushiki Kaisha Multivalued semiconductor read only storage device and method of driving the device and method of manufacturing the device
JPH0878682A (ja) 1994-07-08 1996-03-22 Hitachi Ltd 半導体集積回路装置の製造方法
JPH09289251A (ja) * 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
KR100500033B1 (ko) * 1996-10-15 2005-09-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
TW429478B (en) 1997-08-29 2001-04-11 Toshiba Corp Semiconductor device and method for manufacturing the same
US5966622A (en) * 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
JPH11168069A (ja) 1997-12-03 1999-06-22 Nec Corp 半導体装置の製造方法
EP1114454A2 (en) 1998-09-02 2001-07-11 MEMC Electronic Materials, Inc. Silicon on insulator structure from low defect density single crystal silicon
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6180476B1 (en) * 1998-11-06 2001-01-30 Advanced Micro Devices, Inc. Dual amorphization implant process for ultra-shallow drain and source extensions
US6200869B1 (en) * 1998-11-06 2001-03-13 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit with ultra-shallow source/drain extensions
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6618847B1 (en) * 1998-11-13 2003-09-09 Stmicroelectronics, Inc. Power stabilizer using under-utilized standard cells
TW533508B (en) 1999-05-05 2003-05-21 Taiwan Semiconductor Mfg Structure and method for preventing inter-metal dielectric layer of semiconductor from cracking
JP2001144170A (ja) * 1999-11-11 2001-05-25 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2001210720A (ja) * 2000-01-27 2001-08-03 Nec Ic Microcomput Syst Ltd 半導体装置のレイアウト設計方法
JP2001237322A (ja) * 2000-02-25 2001-08-31 Nec Microsystems Ltd 半導体集積回路のレイアウト方法
US6437406B1 (en) * 2000-10-19 2002-08-20 International Business Machines Corporation Super-halo formation in FETs
US6594809B2 (en) * 2000-11-29 2003-07-15 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage antenna diode insertion for integrated circuits
US6502229B2 (en) 2001-03-26 2002-12-31 Oridus, Inc. Method for inserting antenna diodes into an integrated circuit design
WO2002080045A2 (en) * 2001-03-28 2002-10-10 California Institute Of Technology De novo processing of electronic materials
US6569941B2 (en) 2001-03-30 2003-05-27 Fina Technology, Inc. Process for manufacturing impact resistant monovinylaromatic polymers
JP2003128494A (ja) 2001-10-22 2003-05-08 Sharp Corp 半導体装置の製造方法及び半導体装置
ITTO20011129A1 (it) * 2001-12-04 2003-06-04 Infm Istituto Naz Per La Fisi Metodo per la soppressione della diffusione anomala transiente di droganti in silicio.
US6689671B1 (en) * 2002-05-22 2004-02-10 Advanced Micro Devices, Inc. Low temperature solid-phase epitaxy fabrication process for MOS devices built on strained semiconductor substrate
JP2004014856A (ja) 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
JP2004214440A (ja) 2003-01-06 2004-07-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7032194B1 (en) * 2003-02-19 2006-04-18 Xilinx, Inc. Layout correction algorithms for removing stress and other physical effect induced process deviation
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
JP2004281591A (ja) 2003-03-14 2004-10-07 Hitachi Ltd 半導体エピタキシャルウエハとその製法,半導体装置及びその製法
JP2004342724A (ja) * 2003-05-14 2004-12-02 Sony Corp 半導体装置およびその製造方法
JP4408653B2 (ja) * 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US6982207B2 (en) * 2003-07-11 2006-01-03 Micron Technology, Inc. Methods for filling high aspect ratio trenches in semiconductor layers
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4620942B2 (ja) * 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
JP4599048B2 (ja) * 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
US7590962B2 (en) * 2003-12-17 2009-09-15 Sequence Design, Inc. Design method and architecture for power gate switch placement
US6998666B2 (en) * 2004-01-09 2006-02-14 International Business Machines Corporation Nitrided STI liner oxide for reduced corner device impact on vertical device performance
US7169675B2 (en) * 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7316960B2 (en) * 2004-07-13 2008-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Strain enhanced ultra shallow junction formation
US7404174B2 (en) * 2004-07-27 2008-07-22 International Business Machines Corporation method for generating a set of test patterns for an optical proximity correction algorithm
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7271443B2 (en) * 2004-08-25 2007-09-18 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method for the same
US7174532B2 (en) * 2004-11-18 2007-02-06 Agere Systems, Inc. Method of making a semiconductor device by balancing shallow trench isolation stress and optical proximity effects
JP2006196872A (ja) * 2004-12-17 2006-07-27 Matsushita Electric Ind Co Ltd 標準セル、標準セルライブラリ、半導体装置、及びその配置方法
US7482255B2 (en) * 2004-12-17 2009-01-27 Houda Graoui Method of ion implantation to reduce transient enhanced diffusion
US7538351B2 (en) * 2005-03-23 2009-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an SOI structure with improved carrier mobility and ESD protection
US20090302349A1 (en) * 2005-06-15 2009-12-10 Industrial Technology Research Institute Strained germanium field effect transistor and method of fabricating the same
JP4455441B2 (ja) 2005-07-27 2010-04-21 株式会社東芝 半導体装置の製造方法
TWI258172B (en) * 2005-08-24 2006-07-11 Ind Tech Res Inst Transistor device with strained Ge layer by selectively grown and fabricating method thereof
US7514752B2 (en) * 2005-08-26 2009-04-07 Toshiba America Electronic Components, Inc. Reduction of short-circuiting between contacts at or near a tensile-compressive boundary
JP2007141971A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
DE102005057074B4 (de) * 2005-11-30 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren von Kristalldefekten in verformten Transistoren durch eine geneigte Voramorphisierung
US7543262B2 (en) * 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US20070160100A1 (en) * 2006-01-11 2007-07-12 Huffaker Diana L Misfit dislocation forming interfacial self-assembly for growth of highly-mismatched III-Sb alloys
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7484198B2 (en) * 2006-02-27 2009-01-27 Synopsys, Inc. Managing integrated circuit stress using dummy diffusion regions
US7600207B2 (en) * 2006-02-27 2009-10-06 Synopsys, Inc. Stress-managed revision of integrated circuit layouts
US7767515B2 (en) * 2006-02-27 2010-08-03 Synopsys, Inc. Managing integrated circuit stress using stress adjustment trenches
US7441218B2 (en) * 2006-05-24 2008-10-21 Texas Instruments Incorporated Contact resistance and capacitance for semiconductor devices
US7444609B2 (en) * 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
JP5155536B2 (ja) * 2006-07-28 2013-03-06 一般財団法人電力中央研究所 SiC結晶の質を向上させる方法およびSiC半導体素子の製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
EP1986237A3 (de) * 2007-04-26 2010-09-15 Atmel Automotive GmbH Verfahren zur Erzeugung eines Layouts, Verwendung eines Transistorlayouts und Halbleiterschaltung
US7823118B2 (en) * 2007-07-05 2010-10-26 United Microelectronics Corp. Computer readable medium having multiple instructions stored in a computer readable device
US7844936B2 (en) * 2007-08-22 2010-11-30 Infineon Technologies Ag Method of making an integrated circuit having fill structures

Also Published As

Publication number Publication date
US20130332893A1 (en) 2013-12-12
TWI476868B (zh) 2015-03-11
US8504969B2 (en) 2013-08-06
CN101681878B (zh) 2016-01-13
TW200921849A (en) 2009-05-16
CN101681878A (zh) 2010-03-24
US20090113368A1 (en) 2009-04-30
WO2009055113A1 (en) 2009-04-30
US7895548B2 (en) 2011-02-22
EP2203935A1 (en) 2010-07-07
JP2010541280A (ja) 2010-12-24
US8694942B2 (en) 2014-04-08
US20110078639A1 (en) 2011-03-31
EP2203935A4 (en) 2012-04-25

Similar Documents

Publication Publication Date Title
JP5416700B2 (ja) 配置配線システムにおける設計最適化のためのフィラーセル
US7897479B2 (en) Managing integrated circuit stress using dummy diffusion regions
US8069430B2 (en) Stress-managed revision of integrated circuit layouts
US7767515B2 (en) Managing integrated circuit stress using stress adjustment trenches
US7863146B2 (en) Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance
US9646966B2 (en) N-channel and P-channel end-to-end finFET cell architecture
CN106876468B (zh) N沟道和p沟道finfet单元架构
WO2010087884A1 (en) Boosting transistor performance with non-rectangular channels
US10756212B2 (en) FinFET with heterojunction and improved channel control
US11270057B1 (en) Semiconductor device including regions for reducing density gradient effect and method of forming the same
Choy et al. Post placement leakage reduction with stress-enhanced filler cells

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130208

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131022

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131115

R150 Certificate of patent or registration of utility model

Ref document number: 5416700

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250